ImageVerifierCode 换一换
格式:DOC , 页数:30 ,大小:2.17MB ,
资源ID:1005434      下载积分:30 文钱
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,省得不是一点点
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.wenke99.com/d-1005434.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: QQ登录   微博登录 

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(简单CPU系统设计及实现.doc)为本站会员(龙***)主动上传,文客久久仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知文客久久(发送邮件至hr@wenke99.com或直接QQ联系客服),我们立即给予删除!

简单CPU系统设计及实现.doc

1、数字电路综合实验报告简单 CPU 系统设计与实现 1 设计课题的任务要求设计一个简单的 CPU 及其外部模块,能够完成机器代码的输入、存储、执行和结果显示。基本要求:指令要求至少有空指令,跳转指令,加法指令,存取数据指令。 利用自己设计的指令系统编写一段机器语言,可以完成求两个小于 256 的数的最大公约数。机器语言通过拨码开关和按键逐条输入,通过程序执行开关控制北京邮电大学数字电路与逻辑设计实验报告 程序执行,最后在数码管或 LED 灯上显示结果。提高要求:设计并实现其它指令。 2 系统设计2.1 设计思路设计的简易 CPU 系统主要由指令存储器(可以通过拨码和按键读入指令) 、控制器、内部

2、寄存器、内存以及 ALU 构成。设计过程重点考虑如下事项:读/写寄存器,读/写存储器以及执行指令。通过执行读入的指令以及编写好的求两个数的最大公约数的程序来测试该系统的功能。2.2 总体框图2.2.1 系统总体框图由设计思路,可绘制如下的简单 CPU 系统总体框图:最终在 quartusII 中连接好的 CPU 系统图如下所示(其中的 ACC属于 ALU 部件):北京邮电大学数字电路与逻辑设计实验报告 2.2.2 系统状态转移图北京邮电大学数字电路与逻辑设计实验报告 录入指令开始执行指令指令执行结束,等待再次执行或等待录入指令如上图,系统共有 3 个状态:S0、S1 、S2。分别为 S0:在这

3、一状态,通过拨码和按键录入要执行的指令。S1:在这一状态,CPU 执行程序,即 S0 录入的指令。S2 :在这一状态, CPU 执行完全部程序,等待再次执行或等待录入指令。2.2.3 求解最大公约数的程序框图设 a,b 为给定的两个整数,用辗转相减法求解他们的最大公约数:北京邮电大学数字电路与逻辑设计实验报告 开始ab 是否成立?不成立a、 b 交换成立成立b 为所求最大公约数。程序结束北京邮电大学数字电路与逻辑设计实验报告 2.3 分块设计2.3.1 DIV(分频器 ) DIV将电路板所提供的时钟分频,产生CPU工作所需要的时钟以及数码管扫描所需要的时钟。 2.3.2 MCU (控制器 )

4、MCU 用来产生系统内部所有寄存器、运算单元所需的控制信号,以及执行各条指令所需要的微操作。它是整个系统设计的核心所在。 2.3.3 ALU(算术逻辑运算单元 )ALU 完成数据的算术和逻辑运算。ALU 有 5 个输入端和 2 个输出端,其中一个操作数固定来自累加器 acc(具体编程时可用变量或信号表示) ,另一个操作数来自端口 mbr_in(通过数据总线接到寄存器 MBR) 。参加运算的操作数在 ALU中进行规定的操作运算,运算结束后,一方面将结果送至累加器,同时将操作结果的特征状态送标志寄存器。北京邮电大学数字电路与逻辑设计实验报告 2.3.4 MAR (地址寄存器 ) MAR 存放着要被

5、读取或写入的内存单元地址。其中,读操作时,CPU从内存读。而写操作时,CPU把数据写入内存。2.3.5 MBR (缓冲寄存器 ) MBR存放了将要存储到内存的数据或者从内存中读取的最新数据。MBR 连接到系统总线中的地址总线。2.3.6 RAM(随机存取存储器 ) 北京邮电大学数字电路与逻辑设计实验报告 RAM 有着相对独立的输入输出管脚,在本系统设计中它作为内存使用。它不是CPU内部的寄存器,不属于CPU 的一部分。但要测试所设计的CPU 的性能,需要把RAM加进系统设计中。2.3.7 SMG (数码管显示 )SMG负责将运算结果转化为BCD 码形式,然后用数码馆显示。3 仿真波形及波形分析

6、北京邮电大学数字电路与逻辑设计实验报告 根据波形图可以看出状态机的运行,其中一个状态占两个时钟周期。该仿真相当于 CPU 执行指令的时序图,反映了程序运行情况。还包括了辗转相减法的仿真,和 rst 的清零仿真。可以看出该 CPU 系统的仿真结果正确,达到下载的要求。北京邮电大学数字电路与逻辑设计实验报告 4 源程序(含注释)各个部件对应的源程序如下(最后的连接是用图形连接完成的):4.1 DIV(分频器 ) library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity DIV isport(clk_in:in std_logic;clk_out1:out std_logic;clk_out2:out std_logic);end DIV;

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。