ImageVerifierCode 换一换
格式:DOC , 页数:14 ,大小:1.02MB ,
资源ID:1391799      下载积分:12 文钱
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,省得不是一点点
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.wenke99.com/d-1391799.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: QQ登录   微博登录 

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(Quartus-II使用教程-完整实例2.doc)为本站会员(gs****r)主动上传,文客久久仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知文客久久(发送邮件至hr@wenke99.com或直接QQ联系客服),我们立即给予删除!

Quartus-II使用教程-完整实例2.doc

1、1Quartus 入门教程(一个 Verilog 程序的编译和功能仿真)Quartus 是 Altera 公司推出的专业 EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的 EDA 工具进行初步的学习。使大家以后的数字系统设计更加容易上手。第一步:打开软件 快捷工具栏:提供设置(setting) ,编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗

2、口,包括编译通过信息和报错信息。快捷工具栏信息栏菜单栏工作区资源管理窗口任务管理窗口2第二步:新建工程(filenew Project Wizard)1 工程名称:2 添加已有文件(没有已有文件的直接跳过 next)所建工程的保存路径工程名称 顶层模块名(芯片级设计为实体名) ,要求与工程名称相同如果有已经存在的文件就在该过程中添加,软件将直接将用户所添加的文件添加到工程中。33 选择芯片型号(我们选择 cylone II 系列下的 EP2C70F896C6 芯片)(注:如果不下载到开发板上进行测试,这一步可以不用设置)4 选择仿真,综合工具(第一次实验全部利用 quartus 做,三项都选

3、None,然后 next)所选的芯片的系列型号快速搜索所需的芯片选择芯片45 工程建立完成(点 finish)选择第三方综合工具,如果使用 Quartus 内部综合工具则选择 none选择第三方仿真工具,如果使用 Quartus 内部仿真工具则选择 none选择时序分析仪工程建立完成,该窗口显示所建立工程所有的芯片,其他第三方 EDA 工具选择情况,以及模块名等等信息。5第三步:添加文件(filenew VHDL file) ,新建完成之后要先保存。第四步:编写程序以实现一个与门和或门为例,Verilog 描述源文件如下:module test(a,b,out1,out2);input a,b

4、;output out1,out2;assign out1=aassign out2=a | b;endmodule然后保存源文件;第五步:检查语法(点击工具栏的这个按钮 (start Analysis & synthesis) )我们选择 Verilog HDL File 设计文件格式既选择 Verilog 文本输入形式6点击确定完成语法检查第六步:(锁定引脚,点击工具栏的 (pin planner)(注:如果不下载到开发板上进行测试,引脚可以不用分配)双击 location 为您的输入输出配置引脚。该窗口显示了语法检查后的详细信息,包括所使用的 io 口资源的多少等内容,相应的英文名大家可

5、以自己查阅语法检查成功,没有error 级别以上的错误各个端口的输入输出类型顶层某块的输入输出口与物理的芯片端口想对应7第七步:整体编译(工具栏的按钮 (start Complilation) )第八步:testbench 仿真(1)仿真环境配置:选择为使用端口选项卡该窗口给出综合后代码的资源使用情况既芯片型号等等信息。8(2)点击菜单栏中 processing,选择 start,选择 start testbench template write。此时会自动生成 testbench 模板到项目文件夹simulationmodelsim 里面,后缀为.vt在 quatusii 界面打开simulationmodelsim 文件夹下的 .vt 文件进行修改编辑,程序如下,修改完毕,保存:9(3) 在项目管理窗器件上右击选择 settings打开如下界面:10点击 按照.vt 内容(Test bench name 要和)填写上面内容, 选择 modelsim 文件夹下.vt 文件

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。