ImageVerifierCode 换一换
格式:DOC , 页数:5 ,大小:31KB ,
资源ID:292422      下载积分:6 文钱
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,省得不是一点点
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.wenke99.com/d-292422.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: QQ登录   微博登录 

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(全数字锁相环的VHDL设计【文献综述】.doc)为本站会员(文初)主动上传,文客久久仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知文客久久(发送邮件至hr@wenke99.com或直接QQ联系客服),我们立即给予删除!

全数字锁相环的VHDL设计【文献综述】.doc

1、毕业设计文献综述 电子信息工程 全数字锁相环的 VHDL 设计 前言 锁相环其实不是什么新东西,很早以前就有人使用了。 锁相技术的理论早在 1932 年就被 提出 来 了,但直到 40 年代在电视机中才得到广泛的应用 , 用于改善 电视接收机 的行同步和 帧同步 ,以提高 抗干扰能力。 20 世纪 50 年代后期随着 空间技术 的发展,锁相环用于对宇宙飞行目标的跟踪、 遥测 和 遥控 。 60 年代初随着 数字通信系统的发展,锁相环应用愈广,例如为相干解调提取参考 载波 、建立位同步等。具有门限扩展能力的调频信号锁相鉴频器也是在 60 年代初发展起来的。在 电子仪器 方面,锁相环在频率合成器和

2、相位计等仪器中起了重要作用 。 锁相环的英文全称是 ( Phase-Locked Loop), 简称 PLL,锁相的意义是相位同步的自动控制,能够完成两个电信号相位同步的自动控制闭环系统叫做锁相环。是实现相位自动控制的负反馈系统,它使振荡器的相位和频率与输入信号的相位和频率同步。 主题 从前 言 的 论述 中我们知道了锁相环路具有一些相当优良的功能,且成本低、使用方便,因而它已成为电子技术领域中一种相当有用的技术手段,获得了越来越广泛的应用。锁相环可以分为模拟锁相环和数字锁相环。 模拟锁相环主要由相位参考提取电路、压控振荡器、相位比较器、控制电路等组成。压控振荡器输出的是与需要频率很接近的等

3、幅信号,把它和由相位参考提取电路从信号中提取的参考信号同时送入相位比较器,用比较形成的误差通过控制电路使压控振荡器的频率向减小误差绝对值的方向连续变化,实现锁相,从而达到同步。 数字锁相环主要由相位参考提取电路、晶体振荡器、分频器、相位比较器、脉冲补抹门等组成。分频器输出的 信号频率 与所需频率十分接近,把它和从信号中提取的相位参考信号同时送入相位比较器,比较结果示出本地频率 高了时就通过补抹门抹掉一个输入分频器的脉冲,相当于本地振荡频率降低;相反,若示出本地频率低了时就在分频器输入端的两个输入脉冲间插入一个脉冲,相当于本地振荡频率上升,从而达到同步 1。 全数字锁相环 (DPLL) 由于避免

4、了模拟锁相环存在的温度漂移和易受电压变化影响等缺点。从而具备可靠性高、工作稳定、调节方便等优点。在调制解调、频率合成、 FM 立体声、图像处理等各个方面得到广泛的应用。 在同步数字网络中,同步是数据流正确传输的基础,因此同步技术是数字传输领域的关键技术。为了实现网络的同步,业界普遍采用锁相技术, 因为锁相环的性能优越,尤其是数字锁相环的可调范围更宽而且更容易实现。以锁相环为基础,根据 SDH 网络中的同步结构和方式,提供了锁相环在 SDH 网络同步中的具体应用方案,着重分析了这种结构的特性。这种方案在实际应用中有较好的稳定性,对各种网络的同步是一种很好的参考。在实际应用中,这种同步应用方案是很

5、具有参考价值的,它不仅可以用于 SDH 网络的同步,对于其他数字网络,针对其网络特点,也可以移植过去使用 2。 为解决感应加热系统中频率跟踪的问题,使感应加热系统始终工作在最佳状态,提出一种新型的全数字锁相环 (ADPLL)高频感应加热系统的设计方案。该方案是基于现场可编程门阵列,采用比例积分控制的方法。仿真结果表明, ADPLL 能够及时有效地进行频率锁定,具有控制跟踪速度快、精度高、可调性强及捕获频带宽等优点。根据不同谐振频率的对象,可以通过调节 1/ N 分频器的参数 N 、 K 模计数模块的参数 K 和积分模块的计数器 n 的位数,使得 ADPLL 工作处在最佳状态 3。 利用数字锁相

6、环实现对电力系统基波信号进行同步的方法,用可编程逻辑器件,采用VHDL 语言实现数字锁相环电路,并采用 MAX + plus软件进行仿真,对硬件电路进 行测试。仿真和测试结果表明,该数字锁相环能很好地跟踪被测信号,以达到提高电力系统谐波检测的精度。该数字锁相环具有控制灵活、跟踪精度高和易于集成等特点 4。 针对传统的全数字锁相环只能锁定已知信号和锁频范围较小的问题,提出了一种自动变模控制的宽频带全数字锁相环。对比分析了各类全数字锁相环锁频、锁相的工作机理,提出了一种新的系统模型,重点研究了快速锁定和频带拓宽的原理及实现方法。应用 EDA 技术完成系统设计,并进行计算机仿真。仿真结果证实了该设计

7、具有快的锁定速度、宽的锁频范围、并能快速跟踪频率突变的输入信号。 该锁相环通用性强,易于集成,可作为 IP 核用于SoC 的设计 5。 为了实时跟踪电网频率的变化,提高直流输电系统中换流器触发脉冲控制精度,提出了一种基于新型全数字锁相环的同步倍频技术。该新型数字锁相环在传统数字锁相环的基础上加入了自适应模值控制模块,大幅提高了锁相速度和精度。在此基础上,利用近似补偿方法设计出的同步倍频模块能在高精度要求下对电网频率同步任意倍频,给换流器触发控制系统提供精准的时钟基准,提高相位控制精度,削弱换流器产生的非特征谐波。利用现场可编程门阵列( FPGA)为载体,在 QUARTUS软 件环境下,设计出了

8、基于全数字锁相环的同步倍频装置,并通过软件仿真和实验测试验证了该技术的正确性和优越性 6。 在无功补偿控制系统中,采用了新型全数字锁相环技术,其在传统全数字锁相环的基础上加入了自适应模值控制模块;该系统在采样中采用该新技术进行倍频锁相,对采样电压设计了同步 6 倍频,提供 6 相触发脉冲,同时设计了同步 128 倍频,以保证 ad 在每周期采样 128 点;给出了该装置的硬件实现方法,同时给出了软件设计的程序流程;仿真与试验结果表明新型全数字锁相环技术可以大大提高锁相速度和精度, 进一步提高无功补 偿系统的功率因数 7。 针对目前在大部分电机伺服系统中使用传统模拟控制方式的情况,使用大规模可编

9、程逻辑器件进行电机的数字化控制。分析了锁相环的原理和特性,介绍了控制系统的组成和功能。针对该系统中实时控制处理的要求,提出了一种基于复杂可编程逻辑控制器 (CPLD)的电机控制方案。使用 EPM7128S 作为主控制芯片,程序设计使用 VHDL 语言编写。仿真结果表明该系统具有较好的鲁棒性和精确性,改善了电机的调速性能 8。 针对光伏分布式电源并网系统中光伏输出电流的调频调相问题,给出了一种基于 DSP 的数字锁相技术 。利用 DSP 内部的捕获单元、通用定时器和比较单元,方便地实现了对电网电压和光伏发电输出电流的信号捕获,从而达到调频调相的目的,达到并网条件。通过实验表明,此方法精度高,锁相

10、速度快,保证了并网系统的可靠性和高效性 9。 目前锁相技术已经形成一门比较系统的理论科学,它的应用遍及整个无线电领域,总结起来,最主要的应用范围概括起来有以下十大方面 :( 1)频率合成与频率变换;( 2)自动频率调谐跟踪;( 3)模拟和数字信号相干解调;( 4) AM 波的同步检波;( 5)数字通信中的位同步提取;( 6)锁相稳频、信频和分频;( 7) 锁相测速与测距;( 8)锁相 FM( PM)调制与解调;( 9)微波锁相频率源;( 10)微波锁相功率放大。 随着电子设计自动化 (EDA)技术的发展,采用大规模可编程逻辑器件 (如 CPLD 或 FPGA) 和 VHDL 语言来设计专用芯片

11、 ASIC 和数字系统,而且可以把整个系统集成到一个芯片中,实现系统 SOC,构成片内锁相环。 VHDL 语言是一种用于电路设计的高级语言。它在 80 年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。 VHDL 的英文全写是: VHSIC( Very High Speed Integrated Circuit) Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。 基于 VHDL 语言的数字锁相环设计,不仅简化了硬件的开发和制作过程,而且

12、使硬件体积大大减小,并提高了系统的可靠性。该方法可以在不修改硬件电路的基础上,通过修改设计软件、更改移相范围就可满足不同用户的需要 10-13。 总结 简单来说 , 数字锁相环有以下优点 1、面积小,由于振荡器输入不再是模拟电压 ,而是数字控制单元,使得 LPF 由模拟型被数字型代替,集成在 chip 内十分节约面积。 2、功耗小, ADPLL 不再用到 CP 这个关键电路,所以即使电源电压降低,也不会产生原先 CP 非线性的问题。 3、 ADPLL 的相位噪声可做的更好,数字振荡器 (DCO)的分解精度,相位噪声和 TDC(time to digital converter)的分解精度主要决

13、定了 PLL 的相位噪声特性。 4、抗 PVT 性能更好,采用一些数字校正技术,使 PLL 的整体性能更坚固。 采用 VHDL 设计全数字锁相环路,具有设计灵活,修改方便和易于实现的 优点,并能够制成嵌入式片内锁相环。该类数字锁相环路中计数器的模数可以随意修改,这样,就能够根据不同的情况最大限度地、灵活地设计环路 14-18。 参考文献 1 (美 )贝斯特 .锁相环 M.北京 :清华大学出版社 ,2007. 2 郝培育 ,唐普英 .锁相环在 SDH网络中的应用 J.电子工程师 ,2007,33(3):18-20. 3 余景华 ,杨冠鲁 ,郭亨群 .全数字锁相环高频感应加热系统的设计 J.华侨大

14、学学报 (自然科学版 ),2009,30(2):147-150. 4 张晓琴 ,罗隆 .基于数字锁相环同步采样的谐波检测 J.煤炭技 术 ,2009,28(12):35-37. 5 朱立军 ,单长虹 ,李勇 .一种自动变模控制的宽频带全数字锁相环 J.现代电子技术 2009,20:11-16. 6 张志文 ,曾志兵 ,罗隆福 等 .基于新型全数字锁相环的同步倍频技术 J.电力自动化设备 ,2010,30(2):123-130. 7 张志文 ,吴浩 ,曾志兵 等 . 新型全数字锁相环在无功补偿系统中的应用 J.计算机测量与控制 ,2010 18(4):920-926. 8 韩瑞 ,赵创社 ,史志

15、富 .数字锁相环在电机控制系统中的应用 J.电机与控制应用 , 2010,37(7):37-41. 9 李玲 ,谢利理 ,脱秀林等 .光伏并网系统中的数字锁相环 J.电源技术 ,2010,34(8):845-847. 10 董介春 ,李万玉 .基于 VHDL语言的数字锁相环的设计与实现 J.青岛大学学报 ( 工程技术版 ),2004,19(2):84-88. 11 胡华春 .数字锁相环路原理与应用 M.上海科技出版社 ,1990. 12 侯伯亨 .VHDL硬件描述语言与数字逻辑电路设计 M,西电出版社, 2002. 13 张肃文 .高频电子线路 M,北京 :高等教育出版社 ,2000. 14

16、IEEE Standard VHDL Language Reference Manual IEEE std 1076-1993 Institute of Electrical and Electronics Engineering Inc, 1993. 15 L. William, C.C. Ming. A survey of digital phase-locked loops J. Proceedings of the IEEE, 1981, 69(4): 410-431. 16 王福昌 ,鲁昆生 .锁相技术 M.武汉 :华中科技大学 ,2002. 17 万心平 ,张厥盛 .集成 锁相环路 M.北京 :人民邮电出版社 ,1990. 18 眭法川 .锁相与频率合成 M.北京 :国防工业出版社 ,1998.

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。