ImageVerifierCode 换一换
格式:DOC , 页数:7 ,大小:51KB ,
资源ID:3512006      下载积分:20 文钱
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,省得不是一点点
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.wenke99.com/d-3512006.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: QQ登录   微博登录 

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(材料英语证书考试(PEC)-材料词汇解释.doc)为本站会员(sk****8)主动上传,文客久久仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知文客久久(发送邮件至hr@wenke99.com或直接QQ联系客服),我们立即给予删除!

材料英语证书考试(PEC)-材料词汇解释.doc

1、材料英语证书考试(PEC)-材料词汇解释Acceptor - An impurity in a semiconductor which accepts electrons excited from the valence band, leading to hole conduction.Active Si layer - Silicon layer on top of the buried oxide (BOX) in SOI substrates.Adhesion - Ability of materials to stick (adhere) to each other.Adhesion

2、layer - Material used to improve adhesion of materials, typically photo resist to the substrate in a photo lithographic processes. Some metals are also used to promote adhesion of subsequent layers.Amorphous Si, a-Si - Non-crystalline thin-film silicon having no long-range crystallography order; inf

3、erior electrical characteristics as compared to single-crystal and poly Si but cheaper and easier to manufacture; used primarily to fabricate solar cells.Angstrom, - Unit of length commonly used in semiconductor industry, though it is not recognized as a standard international unit; 1 = 10-8cm = 10-

4、4 micrometer = 0.1 nm; the dimensions of a typical atoms.Anisotropic - Exhibiting physical properties in differing crystallography directions.Anisotropic Etch - A selective etch which exhibits an accelerated etch rate along specific crystallography directions.Batch process - Process in which many wa

5、fers are processed simultaneously, as opposed to a single wafer process.Bipolar - Semiconductor device fabrication technology which produces transistors that use both holes and electrons as charge carriers.Boat - 1. A device made of high purity temperature resistant materials such as fused silica, q

6、uartz, poly Si, or SiC designed to hold many semiconductor wafers during thermal or other processes; 2. device designed to simultaneously contain source material during evaporation while at the same time heating the source to its melting point; made of highly conductive, temperature-resistant materi

7、al through which current is passed.Bonded SOI - SOI substrate formed by bonding two silicon wafers with oxidized surfaces such that one wafer is formed with an oxide layer sandwiched between two layers of Si; one wafer is subsequently polished down to a specified thickness to form an active layer wh

8、ere devices will be fabricated.Boron - Element from group III of the periodic table; acts as an acceptor in silicon; Boron is the only p-type dopant used in silicon device manufacturing.Bow - Concavity, curvature, or deformation of the wafer centerline independent of any thickness variation present.

9、BOX - Buried Oxide in SOI substrates; the layer between wafers.Chemical Mechanical Polishing, CMP - A process for removal of surface material from the wafer which uses chemical and mechanical actions to achieve a mirror-like surface for subsequent processing.Chuck Mark - Any physical mark on either

10、surface of a wafer caused by robotic end effecter, chuck, or wand.Clean Room - Enclosed ultra-clean space necessary for semiconductor manufacturing. Airborne particles are removed from the space to specified minimum levels, room temperature and humidity are strictly controlled; clean rooms are rated

11、 and range from Class 1 to Class 10,000. The number corresponds to the number of particles per cubic foot.Cleavage Plane - A crystallography preferred fracture plane.Compound Semiconductor - Synthetic semiconductor formed using two or more elements mainly from groups II through VI of the periodic ta

12、ble; compound semiconductors do not appear in natureConductivity - A measure of the ease with which charge carriers flow in a material; the reciprocal of resistivity.Crystal - Solid featuring periodic spatial arrangement of atoms throughout the entire piece of material.Crystal Defects - Departure fr

13、om the ideal arrangement of atoms in a crystal.Czochralski Crystal Growth, CZ - Process utilizing crystal pulling to obtain single-crystal solids; the most common method for obtaining large diameter semiconductor wafers (e.g. 300mm Si wafers); desired conductivity type and doping level is accomplish

14、ed by adding dopants to molten material. Wafers used in high-end Si microelectronics are almost uniquely CZ grown.Crystal Pulling - Process in which single-crystal seed is slowly withdrawn from the melt and material condenses at the liquid-solid interface gradually forming a rod-shaped piece of sing

15、le-crystal material. Crystal pulling is the foundation of the Czochralski (CZ) single-crystal growth technique;D-defects - Very small voids in Si formed by agglomeration of vacancies.Denuded Zone - A very thin region on a semiconductor substrate surface cleared from contaminants and/or defects by ge

16、ttering;Dicing - Process of cutting semiconductor wafer into individual chips each containing a complete semiconductor device. Large diameter wafer dicing is carried out by partially cutting the wafer along preferred crystallography planes using high precision saw with ultra-thin diamond blade.Die -

17、 A single piece of semiconductor containing entire integrated circuit which has not yet been packaged; a chip.Diffusion layer - A region of opposite conductivity type formed near the surface of a semiconductor crystal as a result of the introduction of impurities into the silicon crystal by means of

18、 solid state diffusion.Dimple - A shallow depression with gently sloping sides that exhibits a concave, spheroidal shape and is visible to the unaided eye under proper lighting conditions.Donor - An impurity or imperfection in a semiconductor which donates electrons to the conduction band, leading t

19、o electron conduction.Dopant - A chemical element, usually from the third or fifth columns of the periodic table,incorporated in trace amounts in a semiconductor crystal to establish its conductivity type and resistivity.Doping - Addition of specific impurities to a semiconductor to control the elec

20、trical resistivity.Elemental Semiconductor - A single element semiconductor from group IV of the periodic table; Si, Ge, C, Sn.EPI Layer - The term epitaxial comes from the Greek word meaning arranged upon. In semiconductor technology, it refers to the single crystalline structure of the film. The s

21、tructure comes about when silicon atoms are deposited on a bare silicon wafer in a CVD reactor. When the chemical reactants are controlled and the system parameters are set correctly, the depositing atoms arrive at the wafer surface with sufficient energy to move around on the surface and orient the

22、mselves to the crystal arrangement of the wafer atoms. Thus an epitaxial film deposited on a oriented wafer will take on a orientation.Epitaxial Layer - A layer grown in the course of epitaxy.Epitaxy - A process by which a thin “epitaxial“ layer of single-crystal material is deposited on single-crys

23、tal substrate; epitaxial growth occurs in such way that the crystallography structure of the substrate is reproduced in the growing material; also crystalline defects of the substrate are reproduced in the growing material. Although crystallography structure of the substrate is reproduced, doping le

24、vels and the conductivity type of an epitaxial layer is controlled independently of the substrate; e.g. the epitaxial layer can be made more pure chemically than the substrate.Etch - A solution, a mixture of solutions, or a mixture of gases that attacks the surfaces of a film or substrate, removing

25、material either selectively or non-selectively.Evaporation - The common method used to deposit thin-film materials; material to be deposited is heated in a vacuum (10-6 - 10-7 Torr range) until it melts and starts evaporating; this vapor condenses on a cooler substrate inside the evaporation chamber

26、 forming very smooth and uniform thin films; not suitable for high melting point materials; PVD method of thin film formation.External, extrinsic gettering - The process in which gettering of contaminants and defects in a semiconductor wafer is accomplished by stressing its back surface (by inducing

27、 damage or depositing material featuring different than semiconductor thermal expansion coefficient)and then thermally treating the wafer; contaminants and/or defects are relocated toward back surface and away from the front surface where semiconductor devices can be formed.Flat - A portion of the p

28、eriphery of a circular wafer that has been removed to a chord.Flatness - For wafer surfaces, the deviation of the front surface, expressed in TIR or maximum FPD, relative to a specified reference plane when the back surface of the wafer is ideally flat, as when pulled down by a vacuum onto an ideall

29、y clean, flat chuck.Float-zone Crystal Growth, FZ - The method used to form single crystal semiconductor substrates (alternative to CZ);poly crystalline material is converted into single-crystal by locally melting the plane where a single crystal seed is contacting the poly crystalline material; use

30、d to make very pure, high resistance Si wafers; does not allow as large wafers (n); electrons are majority carriers and dominate conductivity.Oxygen in silicon - Oxygen finds its way into silicon during the Czochralski single-crystal growth process; in moderate concentration (below 1017cm3) oxygen i

31、mproves mechanical properties of a silicon wafer; excess oxygen acts as a n-type dopant in silicon.Particle - A small, discrete piece of foreign material or silicon not connected crystallography to the waferPhysical Vapor Deposition, PVD - Deposition of thin film occurs through physical transfer of

32、material (e.g. thermal evaporation and sputtering) from the source to the substrate; the chemical composition of deposited material is not altered in the process.Planar Defect - Also known as area defect; basically an array of dislocations, e.g. grain boundaries, stacking faults.Point Defect - A loc

33、alized crystal defect such as lattice vacancy, interstitial atom, or substitutional impurity. Contrast with light point defect.Polishing - Process applied to either reduce roughness of the wafer surface or to remove excess material from the surface; typically polishing is a mechanical-chemical proce

34、ss using chemically reactive slurry.Poly Crystalline Material, Poly - Many (often) small single-crystal regions are randomly connected to form a solid; size of regions varies depending on the material and the method of its formation. Heavily-doped poly Si is commonly used as a gate contact in silico

35、n MOS and CMOS devices.Primary Flat - The flat of longest length on the wafer, oriented such that the chord is parallel with a specified low index crystal plane; major flat.Prime Grade - The highest grade of a silicon wafer. SEMI indicates the bulk, surface, and physical properties required to label

36、 silicon wafers as “Prime Wafers“. Used to manufacture devices, etc., best grade has tight mechanical and electrical properties.P-type Semiconductor - Semiconductor in which the concentration of holes is much higher than the concentration of electrons (np); holes are majority carriers and dominate c

37、onductivity.Quartz -Single-crystal SiO2.Reclaim Grade - A lower quality wafer that has been used in manufacturing and then reclaimed (etched or polished) and subsequently used again in manufacturing.Resistivity (electrical) - The measure of difficulty with which charged carriers flow through a mater

38、ial; the reciprocal of conductivity.RCA Clean - Is a standard set of wafer cleaning steps which needs to be performed before high temp processing steps (oxidation, diffusion,CVD) of silicon wafers in semiconductor manufacturing. RCA cleaning includes RCA-1 and RCA-2 cleaning procedures. RCA-1 involv

39、es removal of organic contaminants, while RCA-2 involves removal of metallic contaminantsRoughness - The more narrowly spaced components of surface texture.Sapphire - Single-crystal Al2O3; can be synthesized and processed into various shapes; highly resistant chemically; transparent to UV radiation.

40、SC1 - 1st cleaning bath in standard RCA Clean sequence, NH4OH/H2O2/H2O solution designed to remove particles from Si surface.SC2 - 2nd cleaning bath in standard RCA Clean sequence, HCl/H2O2/H2O solution designed to remove metals from the Si surface.Secondary Flat - A flat of length shorter than the

41、primary orientation flat, whose position with respect to the primary orientation flat identifies the type and orientation of the wafer; minor flat.Seed Crystal - Single crystal material used in crystal growing to set a pattern for the growth of material in which this pattern is reproduced.Silicon -

42、The most common semiconductor, atomic number 14, energy gap Eg=1.12 eV-indirect band gap; crystal structure-diamond, lattice constant 0.543 nm, atomic concentration 5x1022 atoms/cm, index of refraction 3.42, density2.33 g/cm3, dielectric constant 11.7, intrinsic carrier concentration 1.02x1010cm-3,

43、mobility of electrons and holes at 300 K: 1450 and 500 cm2/V-s, thermal conductivity 1.31 W/cmC, thermal expansion coefficient 2.6x10-6 C-1, melting point 1414C; excellent mechanical properties (MEMS applications); single crystal Si can be processed into wafers up to 300mm in diameter.Site Flatness

44、- The TIR or the maximum FPD of the portion of a site which falls within the FQA.SOI - Silicon-On-Insulator; silicon substrate of choice in future generation CMOS ICs; basically a silicon wafer with a thin layer of oxide (SiO2) buried in it; devices are built into a layer of silicon on top of the bu

45、ried oxide and are thus electrically isolated from the substrate; SOI substrates provide superior isolation between adjacent devices in an IC; SOI devices have reduced parasitic capacitances.SOS - Silicon-On-Sapphire; special case of SOI where an active Si layer is formed on top of a sapphire substr

46、ate (an insulator)by means of epitaxial deposition; due to a slight lattice mismatch between Si and sapphire, Si epitaxial layers larger than the critical thickness have a high defect density.SIMOX - Separation by IMplantation of OXygen; oxygen ions reimplanted into Si substrate and form a buried ox

47、ide layer. SIMOX is a common technique when building SOI wafers.Single-crystal - Crystalline solid in which atoms are arranged following specific pattern throughout the entire piece of material; in general, single crystal material features superior electronic and photonic properties as compared to p

48、oly crystalline and amorphous materials, but is more difficult to fabricate; all high-end semiconductor electronic and photonic materials are fabricated using single-crystal substrates.Single Wafer Process - Only one wafer is processed at the time; tools that are designed specifically for single-waf

49、er processing become more common as wafer diameter increases.Slice Orientation - The angle between the surface of a slice and the growth plane of the crystal. The most common slice orientations are , and .Slicing - Term refers to the process of cutting of the single-crystal ingot into wafers; high precision diamond blades are used.Slurry - A liquid containing suspended abrasive component; used for lapping, polishing and grinding of solid surfaces; can be chemically active; key element of CMP processes.Smart Cut - Process used to fabricate bonded SOI substrates by cleaving the to

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。