ImageVerifierCode 换一换
格式:DOC , 页数:3 ,大小:40KB ,
资源ID:3519238      下载积分:20 文钱
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,省得不是一点点
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.wenke99.com/d-3519238.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: QQ登录   微博登录 

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(2位数码管显示00-99的89c51单片机电子秒表设计的程序和电路图.doc)为本站会员(hw****26)主动上传,文客久久仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知文客久久(发送邮件至hr@wenke99.com或直接QQ联系客服),我们立即给予删除!

2位数码管显示00-99的89c51单片机电子秒表设计的程序和电路图.doc

1、/*应该是 2 位数码管显示 00-99 的 89c51 单片机电子秒表设计 的程序和电路图*/P0 段选 ,P2.0 个位位选,P2.1 十位位选 。共阳数码管 16M 晶振。STRT EQU P2.5STP EQU P2.6CLRR EQU P2.7ORG 00HAJMP MAINORG 0BHAJMP T0INTORG 30HMAIN: MOV R0,#20MOV TMOD,#01H MOV TH0,#3CH MOV TL0,#0B0H MOV DPTR,#TABLESETB EASETB ET0k1: LCALL DISP JB STRT,K2LCALL DISPJNB STRT,$-

2、3AJMP STARTk2: JB STP,K3LCALL DISPJNB STP,STOPK3: JB CLRR,K1LCALL DISPJNB CLRR,CLEAR AJMP K3START: SETB TR0AJMP K1STOP: CLR TR0AJMP K2CLEAR: CLR TR0MOV 40H,#0AJMP K1T0INT: MOV TH0,#3CH MOV TL0,#0B0H DJNZ R0,RTIMOV R0,#20MOV A,40HCJNE A,#99,ADD1MOV 40H,#00HCLR TR0AJMP RTI ADD1: ADD A,#01HMOV 40H,ARTI

3、: RETI DISP: MOV A,40HMOV B,#10DIV AB ;/当前值除以 10MOV 20H,A ;/得出的商送给十位MOV 21H,B ;/得出的余数送给个位CLR P2.0SETB P2.1MOV A,20H ;/十位显示MOVC A,A+DPTRMOV P0,ALCALL DELAYCLR P2.1SETB P2.0MOV A,21H ; /个位显示MOVC A,A+DPTRMOV P0,ARETDELAY: ;误差 0usMOV R6,#01HDL0:MOV R5,#61HDJNZ R5,$DJNZ R6,DL0RETTABLE: DB 0C0H,0F9H,0A4H,0B0H,99H ;/共阳极 0-9 显示代码DB 92H,82H,0F8H,80H,90HEND

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。