ImageVerifierCode 换一换
格式:PPT , 页数:43 ,大小:2.89MB ,
资源ID:377076      下载积分:120 文钱
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,省得不是一点点
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.wenke99.com/d-377076.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: QQ登录   微博登录 

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字电路验证方法.ppt)为本站会员(ga****84)主动上传,文客久久仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知文客久久(发送邮件至hr@wenke99.com或直接QQ联系客服),我们立即给予删除!

数字电路验证方法.ppt

1、 补充内容浙大微电子韩雁2013.4浙大微电子 1内容 Testbench 工艺离散性的克服 电阻离散的影响 电容离散的影响 频率离散的校正 模拟IC低功耗设计技术浙大微电子 2/41TestbenchTestbench更像一个激励的产生器,对所设计的输入管脚产生相应的输入值(0或者1)系列,也叫“测试矢量”,通过观察输出值来评估设计的正确性。Testbench 的工作就是把这些不同的输入值(测试矢量)加载到所设计的电路中。a b c test0 0 0 10 0 1 10 1 0 10 1 1 11 0 0 01 0 1 01 1 0 01 1 1 1设计模块:test=a|b 浙大微电子

2、3Testbench生成方式1.MaxplusII里面,画高低电平形成testbench。2.Modelsim里面,按照一定规则写testbench。浙大微电子 4/41画testbench输出结果设计模块:test=a|b 浙大微电子 5/41写testbenchtimescale 1ns/10psmodule top_test();reg a_test, b_test, c_test; test test (.a(a_test), .b(b_test), .c(c_test), .test(test_out); /调用设计模块initial begin a_test=0; b_test=0

3、;c_test=0; /初始化#(100) begin a_test=0; b_test=0;c_test=1;end /每100ns赋值一次#(100) begin a_test=0; b_test=1;c_test=0;end#(100) begin a_test=0; b_test=1;c_test=1;end#(100) begin a_test=1; b_test=0;c_test=0;end#(100) begin a_test=1; b_test=0;c_test=1;end#(100) begin a_test=1; b_test=1;c_test=0;end#(100) be

4、gin a_test=1; b_test=1;c_test=1;end#(100) $stop;endendmodule设计模块:test=a|b 浙大微电子 6/41编写testbench规则1.定义仿真步长和仿真精度 timescale 1ns/10ps 2. 定义模块 ,不需 输入输出 列 , testbench 是产生激励 输入输出。module top_test();endmodule3.定义 量 测模块(DUT, Design Under Test)输入相 的 定义 reg 。reg a_test, b_test, c_test; DUT输出相 的 定义 wire , 的输出 不定

5、义, 就是1 bit 的wire 。wire out_signal1, out_signal2,., out_signalN;的浙大微电子 7/41编写testbench规则4. 化DUTDUT_Module_Name DUT_Instance_Name(input1,input 2,output1); 5. 初始化reg initialbeginclk=0;in_signal1=0 /这里加reg 量的初始化值end6.产生 定频率的always #10 clk=clk; /产生一个currency1 20ns的“ 一浙大微电子 8/41编写testbench规则8.产生输入 initial

6、 /initial块是fibegin#100 en=1; /仿真fl始100ns en赋值 1# 200 in_signal1=1 /过200ns ,in_signal1赋 1# 10000000 $stop /仿真,$finish 仿真结 end浙大微电子 9/41Testbench:用系”1.仿真结果 中的内容: $display2. ”:$readmemh(16), $readmemb(2)3.fl :$fopen4. 结果写入 : $fmonitor( 化就写), $fdisplay(定currency1写)5. : $fclose6. 出VCD式 (VCD是一 仿真中 的式, 用作功耗 ): $dumpfile7. 仿真 : $finish(结 ), $stop( )8. 入SDF (一 电路 的 , 用作 仿真): $sdf_annotate浙大微电子 10/41

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。