1、 脉冲电路与数字逻辑 一、填空题(本大题共 40 分,共 20 小题,每小题 2 分) 1. 5的 8421BCD码是 _ 。 2. VHDL的源程序必须包含 _ 和 _ 。 3. 人们把用编码所表示的数据称为 _ ,而把用符号所表示的数据称为 _ 。 4. 由数字量到模拟量的转换称为 _ 。 5. _ 的出现是 EDA技术的基础。 6. VHDL有两个版本,分别是 _ 和 _ 。 7. SSI的含义是 _ 。 8. EDA以 _ 为系统逻辑描述手段。 9. VLSI是指 _ 。 10. 数据信息的表示由 _ 和 _ 组成。 11. 在 while loop 循环语句结构中,循环结束的条件是
2、_ 。 12. 行为描述属于 _ 执行的描述。 13. 5的 2421BCD码是 _ 。 14. 常量一旦定义后其值便 _ 改变。 15. +0.1001的补码的表示为 _ 。 16. 逻辑函数的表示方法有 : _ 、 _ 、 _ 。 17. 触发器的次态方程是描述触发器次态与 _ 和输入的函数关系的方程式。 18. 语句 “wait for 0” 的含义是 _ 。 19. 当 R=1,S=1时,基本 R-S触发器的次态为 _ 。 20. 二极管和三极管的基本组成结构都是 _ 。 二、计算题(本大题共 30 分,共 5 小题,每小题 6 分) 1. 试用 if语句实现 4选 1的多路选择器。
3、2. 试用 VHDL的 for loop循环语句实现一个有 8位输入的非 门。 3. 试用并行信号代入语句实现一个两输入的与非门。 4. 试用 case语句实现 5选 1多路选择器。 5. 说明 VHDL语言中 signal与 variable的区别? 三、简答题(本大题共 30 分,共 5 小题,每小题 6 分) 1. 简述 VHDL的特点。 2. 请写出两输入的与门的实体。 3. 请简述同步时序逻辑电路应具备的条件。 4. 请简述模拟量和数字量的区别。 5. 请简述原码的运算法则。 答案: 一、填空题( 40 分,共 20 题,每小题 2 分) 1. 参考答案: 0101 解题方案 : 评
4、分标准: 2. 参考答案: 实体构造体 解题方案: 评分标准: 3. 参考答案: 机器数真值 解题方案: 评分标准: 4. 参考答案: 数 /模转换 解题方案: 评分标准: 5. 参考答案: 计算机 解题方案: 评分标准: 6. 参考答案: VHDL87VHDL93 解题方案: 评分标准: 7. 参考答案: 小规模集成电路 解题方案: 评分标准: 8. 参考答案: HDL 解题方案: 评分标准: 9. 参考答案: 超大规模集成电路 解题方案: 评分标准: 10. 参考答案: 符号数值 解题方案: 评分标准: 11. 参考答案: 条件表达式为假 解题方案: 评分标准: 12. 参考答案: 顺序
5、解题方案: 评分标准: 13. 参考答案: 1011 解题方案: 评分标准: 14. 参考答案: 不能 解题方案: 评分标准: 15. 参考答案: 0.1001 解题方案: 评分标准: 16. 参考答案: 逻辑表达式真值表卡诺图 解题方案: 评分标准: 17. 参考答案: 现态 解题方案: 评分标准: 18. 参考答 案: 无限循环 解题方案: 对于 wait for语句,若时间表达式的值为 0,可写为 0ns,此时 wait for语句相当于无限循环语句,在仿真时将表现为 “ 死机 ” 现象。 评分标准: 19. 参考答案: Q 解题方案: 评分标准: 20. 参考答案: P-N结 解题方案
6、: 评分标准: 二、计算题( 30 分,共 5 题,每小题 6 分) 1. 参考答案: library ieee;use ieee.std_logic_1164.all;entity mux4 is port( sel: in std_logic_vector( 0 to 1) ; d0,d1,d2,d3: in std_logic; dout: out std_logic) ;end mux4;architecture example of mux4 isbegin porcess( sel) begin if sel=“00” then dout dout dout dout dout d
7、out dout=X; end case; end process;end example; 解题方案: 利用 case语句的分支选择功能,将多路选择器的选择控制条件做为测试表达式,测试表达式的值不同的时候选择不同的通道,同时注意控制信号的位数为3位。 评分标准: 5. 参考答案: 见下表所示信号变量功能电路的内部连接内部数据暂存申明block, architecture、 package、 entityprocess、 function、 procedure 使用范围全局量,可以在全局使用 process、 function、 procedure赋 值符号 :=或者 =:=赋值生效有延时立即
8、生效敏感进程敏感进程不敏感 解题方案: 评分标准: 三、简答题( 30 分,共 5 题,每小题 6 分) 1. 参考答案: 1、与其他的硬件描述语言相比, VHDL具有更强的行为描述能力。 2、 VHDL丰富的仿真语句和库函数,使得在设计的早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。 3、 VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。 4、对于用 VHDL 完成的一个确定的设计,可以利用 EDA工具进行逻辑综合和优化 ,并自动的把 VHDL描述设计转变成门级网表。 5、 VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构
9、,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。 解题方案: 评分标准: 2. 参考答案: entity and2 isport( a,b: in bit,c: out bit) ;end entity and2; 解题方案: 评分标准: 3. 参考答案: 1、从电路的输出到输入,至少存在着一个反馈通路。 2、电路具有用来保持过去信息的记忆能力。 3、后一个时钟信号到来,必须是前一个时 钟信号所引起的逻辑响应完全结束后。 解题方案: 评分标准: 4. 参考答案: 模拟量自然界存在的物理量,它是连续的;二数字量是数字系统处理中所使用的量,它是离散的。 解题方案: 评分标准: 5. 参考答案: 当使用原码进行计算时,由于原码的计算方法与真值几乎完全一致,所以在计算过程中,参与运算的数据符号是不要像数值一样参与计算,若参与运算数据符号相同时,计算时只需要将数值部分进行相加,结果符号就取原来数据的符号;若计算数据的符号相异,则首先比较参与计算数据的绝对值大小,然后对用数值大者减去数值小 者,结果符号取绝对值大者。 解题方案: 评分标准: