ImageVerifierCode 换一换
格式:PPT , 页数:17 ,大小:506.50KB ,
资源ID:763015      下载积分:10 文钱
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,省得不是一点点
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.wenke99.com/d-763015.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: QQ登录   微博登录 

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(自动控制系统校正方法.PPT)为本站会员(国***)主动上传,文客久久仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知文客久久(发送邮件至hr@wenke99.com或直接QQ联系客服),我们立即给予删除!

自动控制系统校正方法.PPT

1、第 6章 自动控制系统校正方法 1 常用校正装置无源校正网络有源校正装置 2 系统串联校正串联超前校正串联滞后校正 3 反馈校正测速 超前反馈校正 4 复合校正扰动补偿复合校正输入补偿复合校正串联综合法校正串联校正装置设计【例 6-1 】 设控制系统如图所示。 若要求系统在单位斜坡输入信号作用时,位置输出稳态 误差 ,开环系统截止频率 ,相角裕度 ,幅值裕度 ,试设计串联无源超前网络。解:设计时,首先调整开环增益。从题意可得故取 ,代入校正系统开环传递函数得上式代表最小相位系统,因此,只需画出其对数幅频渐进特性,如下图 所示由图得待校正系统的截止频率 ,算出待校正系统的相角裕度为:对一个单纯的

2、二阶系统而言,其幅值裕度必为 ,相角裕度小的原因是因为待校正系统的对数幅频特性中频区的斜率为 。由于截止频率和相角裕度均低于指标要求,故采用串联超前校正是合适的 。 下面计算超前网络参数 :试选 , 又 ,因此可知因此,超前网络传递函数为:为了补偿无源超前网络产生的增益衰减,放大器的增益需提高倍,否则不能保证稳态误差要求。超前网络参数确定后,已校正系统的开环传递函数为 其对数幅频特性如图 。 显然已校正系统计算待校正系统的相角裕度 ,则故已校正系统的相角裕度:已校正系统的幅值裕度仍为 ,因为其对数相频特性不可能以某一有限值与 线相交。此时,全部性能指标均已满足。下图表征了待校正和已校正系统的尼

3、科尔斯图。注意到校正后的系统的相角裕度提高,同时闭环系统的谐振峰值 有所下降。 串联滞后校正网络设计【例 6-2 】 设控制系统如图所示。若要求校正后系统的静态速度误差系数等于相角裕度不低于 ,幅值裕度不小于 截止频率不小于 试设计串联校正装置。解 首先确定开环增益,由于故待校正系统开环传递函数应取然后画出待校正系统的对数幅频渐进特性,如下图所示 。由图 算出 这说明待校正系统不稳定,且截止频率远大于要求值。对于该系统而言,只要在中频段降低开环增益,同时不影响系统低频段的增益以保持系统的静态指标,即可实现校正的目的,因此,在这种情况下,采用串联超前校正是无效的,只有采取滞后校正装置来实现。设校正后系统的截止频率为,则校正后系统的相角裕度为:将 曲线绘在上图中。根据 要求和 估值,求得 。于是,由 曲线查得 在 范围内任取。考虑到 取值较大时,已校正系统响应速度较快,且滞后网络时间 常数值较小,便于实现。故选取 。在图上查出当 时,有 ,故可求出 , ,则滞后网络传递函数

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。