ImageVerifierCode 换一换
格式:PPT , 页数:27 ,大小:399KB ,
资源ID:861269      下载积分:10 文钱
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,省得不是一点点
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.wenke99.com/d-861269.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: QQ登录   微博登录 

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VHDL语言与数字集成电路设计.PPT)为本站会员(国***)主动上传,文客久久仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知文客久久(发送邮件至hr@wenke99.com或直接QQ联系客服),我们立即给予删除!

VHDL语言与数字集成电路设计.PPT

1、VHDL语言与数字集成电路设计电子科技大学张鹰 第一章 概述 VHDLVery high speed integration circuits Hardware Description Language 一种集成电路的硬件描述语言;用于进行数字集成电路的设计;数字集成电路数字逻辑电路,通常由基本门电路构成;在一块半导体芯片上设计制作;目前以 CMOS工艺为主进行制备;在信息技术领域得到广泛的应用。从上世纪 60年代开始发展,每 3年集成度与速度提高 2倍。从简单的门电路到复杂的数字系统,系统复杂程度急剧提高。数字集成电路的发展SSI ( 120gates ) 基本单元组合 ( P.13)MSI

2、( 20200 ) 简单功能电路:译码器、数据选择器、寄存器、计数器LSI( 20020 万) 小规模系统组件:存储器、微处理器、可编程逻辑器件VLSI(可达上亿) 大型系统组件或小型系统SOC: Systems on chip !数字集成电路的发展数字集成电路的设计电路复杂程度高,开发时间长;目标:短周期、低成本、高性能方案:层次化、模块化、标准化自顶至下的多层次设计: TOP-DOWN数字集成电路的设计特点系统设计 系统描述:芯片功能、性能、 成本、尺寸等功能设计 功能级描述:功能框图、时序 图等逻辑设计 逻辑描述:逻辑电路图 电路设计 电路描述:电路图、门级网表版图设计 版图网表数字集成电路的设计层次采用文本形式进行程序设计,便于编写和修改;具有硬件特征的语句,可以描述数字系统的结构、功能、行为和接口;全面支持电路硬件的设计、验证、综合和测试;设计与具体工艺无关,适合于多层次设计;具有良好的开放性和并行设计能力、便于交流保存共享。设计交流的语言: HDL一个简单数字电路的设计描述4位加法器标准模块: a+b+ci=s3组输入, 1组输出;

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。