ImageVerifierCode 换一换
格式:DOC , 页数:17 ,大小:394KB ,
资源ID:972356      下载积分:15 文钱
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,省得不是一点点
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.wenke99.com/d-972356.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: QQ登录   微博登录 

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(5常见错误及其原因分析.DOC)为本站会员(天***)主动上传,文客久久仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知文客久久(发送邮件至hr@wenke99.com或直接QQ联系客服),我们立即给予删除!

5常见错误及其原因分析.DOC

1、595.5 常见错误及其原因分析初学 VHDL,往往会碰到不少问题和错误。例如:综合时出现警告和错误、编译无法通过等问题,使得设计无法实现;或者程序,综合等均通过,但不能得到正确的仿真结果,即所设计的硬件与原意要求不符等等。通过资料的收集和归纳,总结出以下一些注意事项,常见问题及错误,并提出改正方案,以供参考。5.5.1 避免语法错误VHDL 规定了一些固定的描述格式,用于描述各种不同的功能,在 Xilinx 或Max+plus环境下,关键字会以蓝色显示,端口宽度会以紫色显示,以示区别,黑色字则是可由用户自定义的名称、数值等。下面几个简单的例子作说明:1.端口的定义端口的定义为:port (

2、端口名,端口名:端口类型(空格)端口宽度) ; entity sztop isPort ( clk : in std_logic ; ring , sz : out std_logic ; 定义多个相同类型的端口,用逗号格开hour : in std_logic_vector(3 downto 0) ; 4 位数据sec : out std_logic_vector(6 downto 0) 7 位数据);end sztop;2. 信号和变量的定义信号定义:SIGNAL 信号名: 数据类型 ;signal a : std_logic;signal b : std_logic_vector(2 d

3、ownto 0); 变量定义:VARIABLE 变量名 : 数据类型 ;variable c0 , c1 : integer ;variable d : integer range 0 to 256; variable cnt : std_logic_vector(3 downto 0);3. CASE 语 句60case seg iswhen “0000“ = q q q q b then qb then qcnt0:=1;cnt1:=2;when“0001“=cnt0:=2;cnt1:=3;when“0010“=cnt0:=3;cnt1:=6 ;when“0011“=cnt0:=3 ;cn

4、t1:=4;when others=cnt0:=0;cnt1:=0;end case;elsif clkevent and clk=1 thencount:=count+1;if count=cnt0 thenclk0:=1;elsif count=cnt1 thencount:=0;clk0:=0;end if;end if;clockcnt0:=1;cnt1:=2;when“0001“=cnt0:=2;cnt1:=3;when“0010“=cnt0:=3;cnt1:=6 ;when“0011“=cnt0:=3 ;cnt1:=4;when others=cnt0:=0;cnt1:=0;end case;if count=cnt0 thenclk0:=1;elsif count=cnt1 thencount:=0;

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。