模为60的BCD码加法计数器设计(共4页).docx

上传人:晟*** 文档编号:10500901 上传时间:2022-01-16 格式:DOCX 页数:4 大小:65KB
下载 相关 举报
模为60的BCD码加法计数器设计(共4页).docx_第1页
第1页 / 共4页
模为60的BCD码加法计数器设计(共4页).docx_第2页
第2页 / 共4页
模为60的BCD码加法计数器设计(共4页).docx_第3页
第3页 / 共4页
模为60的BCD码加法计数器设计(共4页).docx_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

精选优质文档-倾情为你奉上模为60的BCD码加法计数器设计一、 实验原理计数器是大规模集成电路中运用最广泛的结构之一。在模拟及数字集成电路设计当中,灵活地选择与使用计数器可以实现很多复杂的功能,可以大量减少电路设计的复杂度和工作量。通过FPGA课程的学习,我运用Verilog HDL语言设计出了一种模为60的BCD码加法计数器,该计数器可以根据控制信号分别实现同步清零和同步置数,从给定的预置数开始计数,并给出详细的Verilog HDL源代码。最后,通过Quartus II对其进行仿真验证。模为60的BCD码加法计数器有五个输入端和两个输出端。当时钟信号的上升沿到来时,计数器自动加一,并通过同步清零端和同步置数端分别实现同步清零和同步置数。端口介绍:clk:时钟信号,当上升沿到来时,计数器自动加一。reset:同步清零端,高电平到来时,计数器自动清零。load:同步置数端,高电平到来时,计数器从给定的预置数开始计数。data:预置数cin:使能端,高电平到来时,计数器开始工作;低电平时,计数器不工作。qout:输出端cout:进位

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。