毕业论文-开题报告-文献综述:基于FPGA的DDS正弦波发生器设计.Doc

上传人:文初 文档编号:1077518 上传时间:2018-11-29 格式:DOC 页数:45 大小:678.08KB
下载 相关 举报
毕业论文-开题报告-文献综述:基于FPGA的DDS正弦波发生器设计.Doc_第1页
第1页 / 共45页
毕业论文-开题报告-文献综述:基于FPGA的DDS正弦波发生器设计.Doc_第2页
第2页 / 共45页
毕业论文-开题报告-文献综述:基于FPGA的DDS正弦波发生器设计.Doc_第3页
第3页 / 共45页
毕业论文-开题报告-文献综述:基于FPGA的DDS正弦波发生器设计.Doc_第4页
第4页 / 共45页
毕业论文-开题报告-文献综述:基于FPGA的DDS正弦波发生器设计.Doc_第5页
第5页 / 共45页
点击查看更多>>
资源描述

1、本科毕业论文系列开题报告电气工程及其自动化基于 FPGA 的 DDS 正弦波信号发生器设计一、课题研究意义及现状这些年来随着我国对超大规模集成电路的重视,也发表了许多关于DDS技术的论文,逐步走上了发展的道路,但是在这之中主要是利用DDS技术去实现功能的改进和对性能指标的提高,几乎是没有关于DDS芯片的设计和研发,因此我国在DDS的研究上与国际水平还是有很大的差距。近几年来我国芯片产业的快速发展,对DDS的研究已经有了突破性的进展从而推动数字信号处理器的飞速发展,使微处理器具有先进的数字信号处理技术,能实现多种功能,对正弦波发生器而言,随着DDS技术的诞生,使波形发生器技术得到进一步的飞跃。相

2、对与其他频率合成技术,DDS技术产生的正弦波具有全数字化、输出频率精度高、波形失真小、频率稳定度高、分辨率高、输出相位连续可调、控制灵活方便、可产生宽带正交信号及其他多种调制信号以及等特点。本次研究课题是基于FPGA的DDS正弦波发生器设计,现在FPGA的主流发展趋势就是在FPGA中嵌入可编程的低功耗、高速收发器,具有嵌入式高速收发器的FPGA为数据传输提供了可行的单芯片解决方案,能够快速地解决协议和速率的变化问题,以及为了提高性能和为产品增加新功能时所做的设计修改所需的重新编程问题。本课题采用FPGA设计实现DDS电路的可行性和可靠性,也更为灵活,可以根据不同的需要对控制方式和接口进行相应的

3、修改,要想使DDS电路产生正弦波形,只要对FPGA中ROM表的数据进行修改。另外FPGA设计还具有相对较宽的带宽、频率转换时间较短、相位连续变化、频率分辨率高等优点。同时FPGA芯片还可以对体统进行现场修改和调试,性能也使用要求,所以将DDS设计嵌入到FPGA片所构成的系统中,将使系统具有很高的性价比。本次研究主要利用的是Quartus软件进行DDS正弦波信号发生器功能的实现进行编译,仿真,培养了自己的动手能力把理论和实际结合起来。二、课题研究的主要内容和预期目标1主要内容本次课题的主要内容就是采用DDS技术设计一个正弦波发生器,主控要求用FPGA实现。掌握DDS工作原理、基本结构和DDS设计

4、思路,了解FPGA芯片的功能及应用,Quartus软件应用,以FPGA为主控确定正弦波信号发生器的实现结构图,并可以对正弦波的频率、幅值等进行设定,通过VHDL语言进行程序设计,并软硬件综合调试通过。2预期目标1)预期设计一个DDS技术正弦波信号发生器,主控以FPGA实现。采用FPGA设计,首先要考虑它的输入、输出接口方案,确定输入和输出的数据量和控制量、位数、I/O位置等,以及和外围电路的接口及控制时序、控制方式等。另外,由于信号都是由FPGA在数字域进行处理,可以将FM和AM等调制在数字域实现。调制电路的功能基本都是由FPGA内部的数字逻辑电路来实现,从而使整个系统的电路更加简单,同时数字

5、调制避免了模拟调制带来的误差和干扰,提高了调制的性能。仅用单片FPGA就实现了直接数字频率合成技术(DDS),产生稳定的正弦波,调制信号参数由FPGA内部输入,也可以从外部输入。整个系统结构紧凑,电路简单,功能强大,可扩展性强。2)由于主要是以FPGA为主的主控模块进行实现,在FPGA中DDS的基本结构系统主要包括有相位累加器、正弦ROM表、DA转换器、低通滤波组成,相位累加器由一个N位的加法器和N位的寄存器构成,在控制时钟信号作用下,累加器将与输出信号频率对应的频率字进行累加,然后与相位字相加以形成最终相位信息,从而使每一个时钟周期输出结果递增K(频率控制字)。相位调制器接收相位累加器的相位

6、输出,主要用于信号的相位调制,其输出的数据作为查找表的相位取样地址。这样就可把存储在波形ROM内的波形抽样值(-进制编码)经查找表查出,完成相位到幅值转换,再经DAC将数字量形式的波形幅值转换成所要求频率的模拟量形式信号,最后由低通滤波器将DAC输出的阶梯状波形平滑为所需的连续波形,即可得到由频率控制字决定连续变换输出的正弦波,这样就可以通过FPGA控制对正弦波的频率幅值等参数进行设定了。3)然后利用VHDL语言进行编译并通过Quartue软件进行仿真。在这一步中可以发现程序存在的实际问题,然后经过修正后使其满足控制要求。三、课题研究的方法及措施1研究方法首先要对 FPGA 和 DDS 技术正

7、弦波发生器进行了解,这需要查阅一定的资料,了解 DDS工作原理、基本结构,各项功能的实现,FPGA 的硬件功能和其内部的逻辑功能。因为本次研究要完成的是通过 FPGA 主控的 DDS 正弦波发生器的设计,主要利用 Quartue软件对DDS 正弦波信号发生要实现的功能来进行编程,并调式通过。并通过来 FPGA 实现各种功能的控制。在这个过程中,需要查阅相关资料。并编写完整程序,通过调试达到预期的效果。2研究措施1)收集查阅 DDS 正弦波发生器的相关资料,对 FPGA 的应用设计情况进行了熟悉了解;了解不同的设计实现方法,比较现有的相关方案,确定本课题研究和实现方案,然后对方案中的各单元进行了

8、必要的分析和研究。2)对所研究的 DDS 正弦波功能进行分类,并利用 Quartue软件对各个功能进行软件编程。3)根据 DDS 正弦波信号发生器所要实现的功能,根据功能来分配 I/O 接口。完成了编程以后,进行调试,看是否满足预先所设想的功能。FPGA 控制框图如下:LED 显示FPGA 控制器 DA 输出键盘FPGA 控制框图根据以上模块,在综合利用 Quartue软件,大致确定定 I/O 点数,综合 FPGA 的性价比。选定 FPGA 芯片。4)在硬件的调试过程中可能不能达到技术指标,所以需要反复调试,最终达到预期目标。5)具体实现中,充分考虑稳定性,可靠性和可拓展性等相关问题。四、课题

9、研究进度计划12010 年 10 月 15 日至 2010 年 12 月 3 日分析设计任务,查阅 DDS 发生器设计和应用资料,确定系统实现总体方案设计;完成开题报告、文献综述、外文翻译等相关工作。22010 年 12 月 4 日至 2010 年 12 月 31 日设计与写论文,包括软件程序设计和硬件电路调试等,撰写设计报告与论文。32011 年 1 月 1 日至 2011 年 3 月 22 日设计作品的继续完善,论文修改,毕业答辩准备等 42011 年 3 月 23 日至 2011 年 4 月 24 日完成综合调试,完成毕业设计论文;做好答辩用的 PPT,并做好答辩准备五、参考文献1 刘延

10、飞等.基于 Altera FPGA/CPLD 的电子系统设计及工程实践M.北京:人民邮电出版社,2009 年 9 月.2 周润景等.基于 Quartus的 FPGA/CPLD 数字系统设计实例M.北京:电子工业出版社,2007 年 8 月.3 杨晓慧等.基于 FPGA 的 EDA/SOPC 技术与 VHDLM.北京:国防工业出版社,2007 年 10 月.4 Stephen Brown,Zvonko Vranesic.Fundamentals of Digital Logic with Verilog Design(2nd Edition)M.New York:McGraw-Hill,2007

11、,5. 5 贾立新等.电子系统设计与实践M.北京:清华大学出版社,2007 年 4 月.6 常晓明,李媛媛.Verilog-HDL 工程实践入门(附光盘)M.北京:北京航空航天大学出版社,2005 年 8 月.7 Ming-Bo Lin.Digital System Designs and Practices: Using Verilog HDL and FPGAs M.New York:McGraw-Hill,2008,8.1 刘韬等. FPGA数字电子系统设计与开发实例导航M.北京:人民邮电出版社,2005年6月.2 张庆玲等. FPGA原理与实践M.北京:北京航空航天大学出版社,2006

12、年4月.3 黄智伟.锁相环与频率合成器电路设计M.西安:西安电子科技大学出版社,2008年1月.4 白居宪.直接数字频率合成M.西安:西安交通大学出版社,2007 年 7 月.毕业论文文献综述电气工程及自动化DDS 正弦波信号发生器的实现摘要:利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计实现DDS正弦信号发生器,同时阐述了直接数字频率合成(DDS)技术的工作原理、电路结构,及设计的思路和实现方法。关键词:DDS;FPGA;正弦波信号发生器随着通信应用的扩展,直接数字频率合成(DDS)技术是从相位概念出发直接合成所需波形的一种新的频率合成技术,它在相对带宽、频率转换时间、相位连

13、续性、正交输出、高分辨率及集成化等一系列性能指标方面已超过了传统频率合成技术,频率合成技术是将一个(或多个)基准频率变换成另一个(或多个)合乎质量要求的所需频率的技术,在通信、雷达、导航、电子侦察、干扰与抗干扰等众多领域都有应用 1。DDS技术是一种从相位概念出发直接合成所需要的波形的新的全数字频率合成技术,同传统的频率合成技术相比,它具有极高的频率分辨率、极快的变频速度,变频相位连续、相位噪声低,易于功能扩展和全数字化便于集成,容易实现对输出信号的多种调制等优点,满足了现代电子系统的许多要求,因此得到了迅速的发展。以正弦波信号发生器为例,利用DDS技术可以根据要求产生不同频率的正弦波,而且可

14、以控制其初始相位和幅度,同样也可以利用DDS技术产生任意的波形 2、3 。DDS原理图如图1所示。图1 DDS的结构原理DDS是一种全数字化的频率合成器,由相位累加器、波形ROM查找表、DA转换器和低通滤波器LPF构成。时钟频率给定后,输出信号的频率取决于频率控制字,频率分辨率取决于累加器的位数,相位分辨率取决于ROM的地址线位数,幅度量化噪声取决于ROM数据位字相位累加器 相位调制器 ROM 查找LPF D/A频率字输入基准时钟 CLK输出相位字输入 长和DA转换器的位数 4、5 。相位累加器是DDS技术的系统的核心,它是由一个N位累加器和N个位寄存器组成的,主要是对频率控制字的2进制码进行

15、累加运算,是典型的反馈电路。相位调节器主要用于信号的相位的调节。波形ROM查找表主要是存储波形抽样值,D/A转换器就是将数字量波形幅值转换求频率的模拟量信号。低通滤波器就是将频率的模拟量信号转换成正弦波信号输出 6、7 。目前实现 DDS 的主要有三种实现方案:一,采用传统的硬件电路组合实现,可靠性差、系统庞大、灵活性小、线路复杂、调试安装都不方便,且扩展功能困难,不宜在短时间内完成。 二,采用单片机为核心实现,单片机处理速度使 DDS 的频率范围非常有限,加上单片机本身端口较少,对于一个外部频率选择键盘输入、ROM 地址查表输出以及 LED 数码管显示的系统来说,端口资源变得非常紧张。三,采

16、用 FPGA 作为控制器,利用其丰富的 I/O 资源,并行处理数据。具有高密度、高速度、多功能、低功耗、设计灵活方便、可无限次反复编程等特点,由 FPGA 完成信号的产生、频率控制、LED 显示等,其优点在于系统结构紧凑,可以实现复杂的测量与控制 8、9 。本研究的课题主要根据方案三来设计,该方案的系统主要由健盘模块、LED 显示模块、FPGA 单元控制模块、D A 转化模块和低通滤波器组成,以 FPGA 为主控制芯片,结合DDS 直接数字频率合成技术产生正弦波信号 10。键盘模块,主要是通过键盘电路改变频率控制字 K,从而实现对正弦信号的频率、相位的初始化设置。为了节省 FPGA 的 IO

17、端口,简化硬件线路,键盘电路采用 44 矩阵式健盘。键盘共设有 14 个键,其中包括 4 个功能健。按键 09 设置为输出频率,ENTER键为确定,用于对波形信号设置的确认,波形信号的设置必须“确定”后才有效,CLR 键为清零,将频率数字快速全部清零,“” 和“” 键为增减信号频率。使用时先通过“09”、“ ”、“ ”12 个设置按键输入所需信号频率,再按下 ENTER 执行健,刷新频率控制字K,使输出信号频率发生变化。CLR 键用于将原有的设定值清零 11。LED 显示模块,主要是完成频率控制字的显示,采用八位的由键盘输入的频率控制字,累计寻址,读取 8 位地址长度 256 点的一个周期波形

18、的数字幅度信息,所以频率控制字控制着频率的大小,而恰好此时的频率控制字就是系统输出波形的频率,所以,LED 显示的也是波形的频率。此模块主要的功能是将外部键盘的 8 位二进制数转换成三位 BCD 码。 FPGA 控制模块包括键盘转换频率控制字、LED 显示控制、相位累加器、ROM 查询表的实现。键盘电路是一组按键开关的集合,FPGA 扫描键盘电路的电平信号,在基准时钟控制下,通过 FPGA 中指定程序转化为频率控制字 K 输入到相位累加器,以得到相应相位数据,而相位累加器的相位频率就是 DDS 输出信号频率 12。相位调制器接收相位累加器的相位输出,主要用于信号的相位调制,其输出的数据作为查找

19、表的相位取样地址。这样就可把存储在波形 ROM 内的波形抽样值(-进制编码)经查找表查出,完成相位到幅值转换,再经 DAC 将数字量形式的波形幅值转换成所要求频率的模拟量形式信号,最后由低通滤波器将 DAC 输出的阶梯状波形平滑为所需的连续波形,即可得到由频率控制字决定连续变换输出的正弦波。低通滤波电路模块,滤波器是一种能通过有用频率信号而同时抑制(或衰减) 无用频率信号的电子装置。由于运算放大器具有近似理想的特性,且可以省去电感,得到接近理论预测的频率响应特性。构成有源滤波电路后还具有一定的电压放大和缓冲作用,并能减小体积,使用低通滤波器将滤除阶梯信号中的谐波分量,一般采用 2 阶低通滤波电

20、路,阶梯信号通过低通滤波,使得输出信号频谱纯度较好,失真较小。利用 VHDL 语言在 Quartue软件中对 DDS 正弦波信号发生器要实现的功能进行编程,对设计进行仿真,观察仿真结果是否和预想的效果相同 13、14 。基于 FPGA 进行直接数字频率合成(DDS)的设计,实现了一个正弦波信号发生器,实现DDS 调频信号电路,只要改变 FPGA 中 ROM 内的数据和控制参数,DDS 就可以产生任意调制波形,且分辨率高,具有相当大的灵活性。DDS 技术嵌入到 FPGA 芯片所构成的系统中,所需要的成本远远低于专用芯片的价格。所以采用 FPGA 来设计 DDS 系统具有很高的性价比 15参考文献

21、:1 Stephen Brown,Zvonko Vranesic.Fundamentals of Digital Logic with Verilog Design(2nd Edition)M.New York:McGraw-Hill,2007,5. 2 李海松等DDS的相位截断及相应的杂散信号分析J微电子学与计算机,2006,23(2):1411433 Ming-Bo Lin.Digital System Designs and Practices: Using Verilog HDL and FPGAs M.New York:McGraw-Hill,2008,8.4 白居宪.直接数字频率合

22、成M.西安:西安交通大学出版社,2007.7.5 黄智伟.锁相环与频率合成器电路设计M.西安:西安电子科技大学出版社,2008.1.6 刘延飞等.基于Altera FPGA/CPLD的电子系统设计及工程实践M.北京:人民邮电出版社,2009,9.7 杨晓慧等.基于FPGA的EDA/SOPC技术与VHDLM.北京:国防工业出版社,2007.7.8 刘皖等.FPGA设计与应用M.北京:清华大学出版社,2006.6.9赵雅兴.FPGA的原理、设计与应用M.天津:学出版社,2003.11.10 张庆玲等. FPGA原理与实践M.北京:北京航空航天大学出版社,2006.4.11 朱恭生等. FPGA/C

23、PLD系统设计与应用案例M.北京:中国电力出版社,2009.12 刘韬等. FPGA数字电子系统设计与开发实例导航M.北京:人民邮电出版社,2005.6.13 乔长阁等. 用VHDL设计电子线路M.北京:清华大学出版社,2000.8.14 周润景等.基于Quartus的FPGA/CPLD数字系统设计实例M.北京:电子工业出版社,2007年8月.15 贾立新等.电子系统设计与实践M.北京:清华大学出版社,2007年4月.毕业设计(20_ _届)基 于 FPGA 的 DDS 正 弦 波 发 生 器 设 计摘 要现代测试领域中,经常需要信号发生器提供多种多样的测试信号去检验实际电路中存在的设计问题,

24、目前以 FPGA 为主控的 DDS 正弦波信号发生器正在得到广泛的应用。该系统主要由健盘电路、LED 显示、FPGA 单元、DA 转化和低通滤波器构成,以 FPGA 为主控制芯片,结合 DDS 直接数字频率合成技术产生正弦波信号,同时产生稳定的正弦波。该设计只要通过改变 FPGA 中 ROM 内的数据和控制参数,DDS 就可以产生任意调制波形,且分辨率高。该正弦波发生器具有相当大的灵活性。最后利用 VHDL 语言进行编译并通过 Quartus软件进行仿真,经过实际仿真表明,正弦波信号发生器工作正常,结果基本符合设计要求。关键词:FPGA;DDS;正弦波信号发生器;Quartus IIAbstract

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。