毕业论文-开题报告-文献综述:基于FPGA的数字频率计设计.Doc

上传人:文初 文档编号:1077523 上传时间:2018-11-29 格式:DOC 页数:44 大小:3.95MB
下载 相关 举报
毕业论文-开题报告-文献综述:基于FPGA的数字频率计设计.Doc_第1页
第1页 / 共44页
毕业论文-开题报告-文献综述:基于FPGA的数字频率计设计.Doc_第2页
第2页 / 共44页
毕业论文-开题报告-文献综述:基于FPGA的数字频率计设计.Doc_第3页
第3页 / 共44页
毕业论文-开题报告-文献综述:基于FPGA的数字频率计设计.Doc_第4页
第4页 / 共44页
毕业论文-开题报告-文献综述:基于FPGA的数字频率计设计.Doc_第5页
第5页 / 共44页
点击查看更多>>
资源描述

1、本科毕业论文系列开题报告电气工程及其自动化基于 FPGA 的数字频率计设计一、课题研究意义及现状在电子测量领域中,频率测量的精确度是最高的,可达 1010 数量级。因此,在13E生产过程中许多物理量,例如温度、压力、流量、液位、PH 值、振动、位移、速度、加速度,乃至各种气体的百分比成分等均用传感器转换成信号频率,然后用数字频率计来测量,以提高精确度。国际上数字频率计的分类很多。因计数式频率计的测量功能繁多,用途很广。所以根据仪器具有的功能,电子计数器有通用和专用之分。通用型计数器:是一种具有多种测量功能、多种用途的万能计数器。它可测量频率、周期、多周期平均值、时间间隔、累加计数、计时等;若配

2、上相应插件,就可测相位、电压、电流、功率、电阻等电量;配上适当的传感器,还可进行长度、重量、压力、温度、速度等非电量的测量。专用计数器:指专门用来测量某种单一功能的计数器。如频率计数器,只能专门用来测量高频和微波频率;时间计数器,是以测量时间为基础的计数器,其测时分辨力和准确度很高,可达ns 数量级;特种计数器,它具有特种功能,如可逆计数器、预置计数器、差值计数器、倒数计数器等,用于工业和自控技术等方面。数字频率计按频段分类 低速计数器:最高计数频率10MHz;中速计数器:最高计数频率 10100MHz;高速计数器:最高计数频率100MHz;微波频率计数器:测频范围 180GHz 或更高。目前

3、国际国内通用数字频率计的主要技术参数:频率测量范围:电子计数器的测频范围,低端大部分从 10Hz 开始;高端则以不同型号的频率计而异。因此高端频率是确定低、中、高速计数器的依据。如果装配相应型号的变频器,各种类型的数字频率计的测量上限频率,可扩展十倍甚至几十倍。周期测量范围:数字频率计最大的测量周期,一般为10s,可测周期的最小时间,依不同类型的频率计而定。对于低速通用计数器最小时间为1us;对中速通用计数器可小到 10us。晶体振荡器的频率稳定度:晶体振荡器的频率稳定度,是决定频率计测量误差的一个重要指标。可用频率准确度、波动、时基稳定度、秒级频率稳定度等指标,来描述晶体振荡器的性能。输入灵

4、敏度:输入灵敏度是指在测频范围内能够保证正常工作的最小输入电压。目前通用计数器一般都设计十二个输入通道。本次研究的课题是利用可编程逻辑器件(FPGA)来实现数字频率计的设计。FPGA 是具有多层次描述系统硬件功能的能力,具有现场可编程在系统调试的功能,能够在产品设计开发、制造过程中对产品中的器件、电路板甚至整个电子系统的逻辑和功能随时进行组态或重组。这样我们可以不必了解硬件的结构,而且在 FPGA 对电路进行行为描述后能进行仿真和纠错,然后通过逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD 器件中去,实现电路设计。由于它不仅涉及到软件编程,而且软硬件结合设计,使频率计的测量

5、频率准确度、可靠性大大增加,另外,从体积和价格的角度考虑,用 FPGA 设计实现频率计存在更大的优势。二、课题研究的主要内容和预期目标1.主要内容设计并制作一个 8 位数字频率计,主控部分应用 FPGA 实现。附加必要的外围设备拓展(如结果显示、必要调整等);被测频率范围 00000000-99999999HZ;能近似分析频率计的测频精度;综合研究输入灵敏度和频率稳定度特征等参数。2.预期目标预期完成一个数字频率计,利用主要以 FPGA 器件来完成,并最终完成软硬件结合通过,包括数字频率计前端信号的放大整形处理,数字频率计的 VHDL 设计实现,以及数字频率计的FPGA 制作三方面的内容,重点

6、是数字频率计的 VHDL 设计实现,运用模块化的思想设计实现数字频率计设计的全部过程,包括模块的划分和相应模块的 VHDL 设计实现。在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,经过 BCD 模块的转换最终送入 LED 中显示。这样制作出来的频率计具有了 FPGA 的稳定性和成熟性,且具有可控制能力。 上述功能主要由六个部分组成:被测信号调理电路主要完成对被测信号进行转换,使它变为计数器可用的脉冲信号,然后输入到计数器中;门控电路相当于开关,通过使能端,利用高低电平的转换来实现计数器及锁存器的工作状态,高电平为

7、计数器开始工作,低电平为计数器清零;计数器计下一定时间内被测信号的脉冲个数,然后发送到锁存器,锁存器记录计数器所计的个数,动态位选择模块用来驱动数码管显示频率测量的数据,分时的选择各个数码管进行显示;BCD 译码模块和 7 段数码管用来转换和显示测量的结果。三、课题研究的方法及措施1研究方法首先要对数字频率计的原理和 FPGA 进行一定了解,这需要查阅一定的资料,清楚该系统所需要实现的功能以及如何实现这些功能,FPGA 的原理和其所能实现的功能。因为本次研究完成的主要是对数字频率计的设计,其主控系统由调理电路、时基信号发生器、闸门电路、门控电路、计数电路和外部显示电路组成。根据这些模块计相关资

8、料,对频率计所要实现的功能用 VHDL 进行编程,在 Quartus软件上画图并通过仿真。在这个过程中需要查阅相关信息资料,通过调试达到预期的效果。2.研究措施1)收集并查阅数字频率计的相关资料,对 FPGA 的应用设计情况进行熟悉了解;了解不同的设计实现方法,确定本课题的研究和实现方案,然后对方案中的各个单元进行必要的分析和研究。2)根据频率计实现的功能,完成系统框图的设计。完成编程后,用 Quartus软件仿真并调试,看是否达到预期效果。初步设计系统方案为时基信号发生器 门控信号调理 十进制计数器锁存器译码 显示图中,先用信号调理电路将被测信号变为脉冲信号,然后将它送到十进制计数器模块上;

9、而门控电路相当于一个开关,通过一个使能端来控制十进制计数器何时开始计数,何时清零以及锁存器何时锁存计数值。4)在本次设计中的设计核心是 FPGA,采用 VHDL 编程语言的数字频率计设计,除被测信号的整形部分、键输入部分和数码显示部分以外,调理电路、时基信号发生器、闸门电路、门控电路、计数电路在一个 FPGA 芯片上实现,整个设计过程变得十分透明、快捷和方便。为了检查设计结果的正确性,需要对设计结果进行仿真,在 Quartus菜单下选择Start compilation 命令,平台会自动对其编译,检查模块连接,给出错误报告。完成仿真后通过后由逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到

10、具体的 CPLD 器件中去,对电路进行最后的调试等。四、课题研究进度计划12010 年 10 月 15 日至 2010 年 12 月 3 日分析任务,查阅数字频率计相关的资料;对资料进行消化,进行理论准备,方案的考虑率和设计;基本完成开题报告、中、英文翻译和文献综述。22010 年 12 月 4 日至 2010 年 12 月 31 日确定数字频率计的最佳设计方案,选择好 FPGA 器件。32011 年 1 月 1 日至 2011 年 3 月 22 日对频率计所实现的功能进行分析,并进行软件编程等。42011 年 3 月 23 日至 2011 年 4 月 24 日综合调试优化,完成毕业设计论文;

11、做好答辩用的 PPT,并作好答辩准备。五、参考文献1 实践M北京:电子工业出版社,2003 年 8 月.2 常晓明,李媛媛.Verilog-HDL 工程实践入门(附光盘)M.北京:北京航空航天大学出版社,2005 年 8 月.3 Stephen Brown,Zvonko Vranesic.Fundamentals of Digital Logic with Verilog Design(2nd Edition)M.New York:McGraw-Hill,2007,5.4 任爱锋.基于 FPGA 的嵌入式系统设计M.西安:西安电子科技大学出版社,2004 年 10月.5 美Nigel P.Co

12、ok 著,施惠琼 ,李黎明.实用数字电子技术M.北京:清华大学出版社, 2006 年 10 月.6 周润景等.基于 Quartus的 FPGA/CPLD 数字系统设计实例M.北京:电子工业出版社,2007 年 8 月.7 杨晓慧.FPGA 系统设计与实例.北京:人民邮电出版社.1 冼进.Verilog HDL 数字控制系统设计实例M.北京:中国水利水电出版社,2007,4.2 杨守良.基于 FPGA 的数字频率计的设计和实现J. 电子技术,2005,11:36-38.3 郑燕,赫建国,党剑华.基于 VHDL 语言与 Quartus软件的可编程逻辑器件应用与开发M.北京:国防工业出版社.2007

13、.3.4 GUO Gai-zhi. Design and Implementation of Digital Cymometer Based on CPLDJ.内蒙故师范大学学报.2005,12:434-437.5谢小东,李良超.基于 FPGA 的等精度数字频率计设计J.实验科学与技术,2005,10:177-179.毕业论文文献综述电气工程及自动化基于 FPGA 的数字频率计摘要:本文介绍了频率计的现状、频率计测量的基本原理及流程,介绍了 FPGA 在频率计的应用,以及 VHDL 的简介,总结了基于 FPGA 的数字频率计的优点。关键词:频率计;FPGA;测量;设计1.引言随着科学技术的发展

14、,频率测量的意义已经日益显著,不仅与人们的日常生活息息相关,而且在当代科技技术中更是尤为重要。例如在信号发生器以及振荡器,各种倍频和分频电路的输出信号中,都要进行频率的测量;在电视、电讯、交通运输、科学研究、卫星发射、导弹跟踪。潜艇定位等微电子技术中,也涉及到很多有关的频率测量 1、2 。在电子测量过程中,总是尽可能把被测参量转换成频率参量进行测量,因此,频率测量是电子测量技术中最基本的测量之一。目前高精度的频率计已经成为研究热点之一。由于传统的频率计通常采用组合电路和时序电路等大量硬件电路构成,产品不但体积较大,运行速度慢,而且测量低频信号时不适宜直接使用。因此频率信号抗干扰性强,易于传输,

15、可获取较高的测量精度,同时频率测量方法的优化越来越受到重视 3、4 。1.1 频率计现状普通频率计测量范围、测量精度和测量速度的缺陷,无法满足各种领域精确快速的测量。计数式频率计测量频率的优点是测量方便、快速、直观,测量精确度比较高;缺点是较高的信噪比例,一般不能测调制波信号的频率 5。单片机的运用与发展改善了这种情况,但由于单片机本身也受到工作频率及内部计数器位数等因素的限制,当测试频率范围比较宽时,不能够保证整个频率范围内的测量精度,所以无法在此领域取得突破性进展。而数字频率计是采用 VHDL 编程设计实现的数字频率计,除被测信号的整形部分,键输入部分和数码显示部分以外,其余全部都在一片

16、FPGA 芯片上实现的,整个设计过程都变得施放透明,快捷和方便,特别是对于各层次电路系统的工作时序的了解和把握显得尤为准确,而且具有灵活的现场可更改性 6、 7。在不改硬件电路的基础上,系统进行各种改进还可以进一步提高系统的性能和测量频率和范围。该数字频率计具有高速、精确、可靠、抗干扰性强、而且可根据需要进一步提高其测量频率的范围而不需要更改硬件连接图,具有现场可编程等优点 8。1.2 频率计工作原理比较数字频率计的基本原理是用计数器对 1s 内输入信号的脉冲个数进行计数,从而测量出信号的频率 9。目前,有三种常用的数字频率的测量方法:直接测量法(以下称 M 法) 、周期测量法(以下称 T 法

17、)和综合测量法(一下称 M/T 法) 。M 法是在给定的闸门时间内测量被测信号的脉冲个数,进行换算得出被测信号的频率。T 法是通过被测信号一个周期时间计时的脉冲个数,然后换算被测信号的频率。这两种测量方法的精度都与被测信号有关,因而他们属于非等精度测量法。而 M/T 法它通过测量被测信号数个周期的时间,然后换算得出被测信号的频率,克服了测量精度对被测信号的依赖性 10、112.数字频率计的开发方法本课题主要介绍用 FPGA 实现数字频率计。2.1 系统的大概框架由于数字频率计是一个需求对数字信号进行测量和显示的系统,因此我们在设计中加入分频器,计数器,锁存电路,译码电路等。首先,分频器对时钟进

18、行分频,得到计数电路可用的 1s 的闸门时间,然后计数器在 1 秒内对被测信号上升沿进行计数,1s 结束后门控电路发送低电平,开启锁存器锁存计数结果,然后再对锁存的数据进行译码和显示。最后清零计数器 12。在第一个显示周期后,通过程序对功能电路的清零,然后使系统开始第二个测量周期的工作。计数电路是对被测频率信号进行频率计算的主要功能电路,根据频率测量定义,电路模块的输出信号由从高到底的 2 进制表示十进制数组成。位数也高频率测量范围就越宽。锁存器的功能是对计数输出的数据进行锁存,即使在前级计数电路计数器清零以后,锁存器仍会保存数据,不会造成丢失 13 。由上述内容可得知,采用 FPGA 器件的

19、数字频率计可以由三个模块组成,分别为输入模块用于信号的输入检测,整形,和转换;FPGA 模块;显示模块包括 BCD 译码器和 7 段 LED数码管。FPGA 模块是系统的核心部分,其中包括了分频器、触发器、计数器 1 和计数器 2组成。分频器出来的信号作为等精度测频原理的预置闸门信号,连接到触发器的时钟端,计数器为个可控计数器,标准频率信号从计数器时钟端输入,经过整形后输入到另一个计数器的时钟端当预置闸门信号为高电平(预置时间开始)时,被测信号的上升沿通过触发器的输出端来启动计数器计数;当预置闸门信号为低电平(预置时间结束)时,被测信号的上升沿通过触发器的输出端关闭计数器计数 14、15 。信

20、号调理电路 FPGA时基信号发生器LED 显示模块被测信号图 1 系统基本结构图2.2 软件平台及具体实现方法本设计基本构架如图 1 所示。核心是 FPGA,采用 VHDL 编程语言的数字频率计设计,除被测信号的整形部分、键输入部分和数码显示部分以外,调理电路、时基信号发生器、闸门电路、门控电路、计数电路在一个 FPGA 芯片上实现,整个设计过程变得十分透明、快捷和方便。为了检查设计结果的正确性,需要对设计结果进行仿真,在 Quartus菜单下选择 Start compilation 命令,平台会自动对其编译,检查模块连接,给出错误报告。完成仿真后通过后由逻辑综合优化工具生成具体的门级逻辑电路

21、的网表,下载到具体的 CPLD器件中去,对电路进行最后的调试等。3.总结本课题利用 FPGA 集成度高、稳定性可靠、可直接编程等特点对数字频率计进行优化,把输入模块、FPGA 模块和显示模块运用 VHDL 编程后下载到一片 FPGA 实现,不但达到了频率计的基本要求,同时还具有高速、精确、抗干扰强、体积小、容易生产、设计周期短、风险小、功耗低和稍加修改程序就能改变数字频率计的测量范围等优点。而且还免去了繁琐的连线,节省了开发的成本。参考文献:1 梁文海,麦文.一种高精度频率测量的研究与实现J.四川:四川师范大学报社:自然科学版,2008,31(3):376-378.2 实践M北京:电子工业出版

22、社,2003 年 8 月.3 李媛媛,常晓明.Verilog-HDL 工程实践入门M.北京:北京航空航天大学出版社,2005.8.4 廖艳,陈利学.基于 FPGA 的等精度频率计 IP Core 设计J.北京:电子技术应用,2007,33(12):21-23. 5 张永瑞.电子测量技术基础(第二版)M.西安:西安电子科技大学出版社 2009,1.6 周润景等.基于 Quartus的 FPGA/CPLD 数字系统设计实例M.北京:电子工业出版社,2007,8.7 Stephen Brown,Zvonko Vranesic.Fundamentals of Digital Logic with Ve

23、rilog Design(2nd Edition)M.New York:McGraw-Hill,2007,5.8 GUO Gai-zhi. Design and Implementation of Digital Cymometer Based on CPLDJ.内蒙故师范大学学报.2005,12:434-437.9 杨守良.基于 FPGA 的数字频率计的设计和实现J. 电子技术 2005,11:36-38. 10周润景.基于 Quartus的 FPGA/CPLD 数字系统设计实例M.北京:电子工业出版社,2007,8.11谢小东,李良超.基于 FPGA 的等精度数字频率计设计J.实验科学与技

24、术,2005,10:177-179.12曾任贤.基于 FPGA 的等精度数字频率计的研究与实现J.科技广场.2009,5:238-23.13林建英,宋野.高精度数字频率计的 FPGA 设计实现J.电子与仪表.2001,11:5-7.14任爱锋.基于 FPGA 的嵌入式系统设计M.西安:西安电子科技大学出版社,2004 年 10月.15杨晓慧.FPGA 系统设计与实例.北京:人民邮电出版社.毕业设计(20_ _届)基于 FPGA 的数字频率计设计摘 要数字频率计在信号发生器以及振荡器,各种倍频和分频电路的输出信号中,科学研究、卫星发射、导弹跟踪、潜艇定位等微电子技术中等方面有着广泛的应用。本文应

25、用 FPGA 实现技术来设计一个数字频率计,指标要求为:制作一个8 位数字频率计,主控部分应用 FPGA 实现。附加必要的外围设备拓展(如结果显示、必要调整等) ;被测频率范围 00000000-99999999Hz。结合设计要求并参阅相关资料后,把电路分为两大模块分别是 FPGA 模块与显示模块,并在此基础上划分信号源、分频、门控、计数、锁存、显示等几个功能模块,信号源的作用是把 EDA-1 的标准时钟 50MHz 分频为 1MHz;而分频模块是用来得到闸门信号所需的 1Hz 信号和待测信号;门控模块的作用是来控制计数器、锁存器的工作状态,计数器记录被测信号的脉冲个数后由锁存器保存,而锁存器的作用是保存数据,防止显示时的抖动,最后,所有数据经过显示模块译码后由 8 个 LED 显示输出。以上所有模块通过硬件描述语言(VHDL)编程,极大的减少了硬件资源的占用。时序仿真通过并下载到 EDA-1 板子里调试通过。从最终的仿真结果可以看出,该数字频率计具有性能优异,所用的设计语言灵活,硬件使用简单并且速度快等优点。关键词:数字频率计;FPGA;频率测量

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。