毕业论文-开题报告-文献综述:基于CPLD的数字时钟设计.Doc

上传人:文初 文档编号:1077525 上传时间:2018-11-29 格式:DOC 页数:54 大小:706.09KB
下载 相关 举报
毕业论文-开题报告-文献综述:基于CPLD的数字时钟设计.Doc_第1页
第1页 / 共54页
毕业论文-开题报告-文献综述:基于CPLD的数字时钟设计.Doc_第2页
第2页 / 共54页
毕业论文-开题报告-文献综述:基于CPLD的数字时钟设计.Doc_第3页
第3页 / 共54页
毕业论文-开题报告-文献综述:基于CPLD的数字时钟设计.Doc_第4页
第4页 / 共54页
毕业论文-开题报告-文献综述:基于CPLD的数字时钟设计.Doc_第5页
第5页 / 共54页
点击查看更多>>
资源描述

1、1本科毕业论文系列开题报告电气工程及其自动化基于 CPLD 的数字时钟电路设计一、 课题研究意义及现状在电子设计技术领域可编程逻辑器件如CPLD的广泛应用为数字系统的设计带来极大的灵活性,由于该器件可以通过软件编程而对其硬件的结构和工作方式进行重构,使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法。设计过程乃至设计观念在传统的数字系统设计中用户能够通过编程方式改变器件逻辑功能只有两种途径即微处理器的软件编程如单片机和特定器件的控制字配置。 在传统的设计概念中器件引脚功能的硬件方式的任意确定是不可能的,而对于系统构成的设计过程只能对器件功能和电路板图分别进行设

2、计和确定通过设计电路板来规划系统功能。在此期间大量的时间和精力花在元件选配和系统结构的可行性定位上。但若采用可编程逻辑器件便可利用计算机软件的方式对目标器件进行设计,而以硬件的形式实现即定的系统功能。在设计过程中设计者可根据需要随时改变器件的内部逻辑功能和管脚的信号方式,借助于大规模集成的可编程逻辑器件和高效的设计软件用户不仅可通过直接对芯片结构的设计实现多种数字逻辑系统功能而且由于管脚定义的灵活性大大减轻了电路图设计和电路板设计的工作量和难度。同时这种基于可编程逻辑器件芯片的设计大大减少了系统芯片的数量,缩小了系统的体积提高了系统的可靠性。高集成度高速和高可靠是FPGA/CPLD,最明显的特

3、点:时钟延迟可达纳秒级结合其并行工作方式在超高速应用领域和实时测控方面有非常广阔的应用前景。CPLD/FPGA 的高可靠性还表现在几乎可将整个系统下载于同一芯片中实现所谓片上系统,从而大大缩小了体积易于管理和屏蔽。由于FPGA/CPLD 的集成规模非常大,可利用先进的EDA 工具进行电子系统设计和产品开发。由于开发工具的通用性,设计语言的标准化以及设计过程几乎与所用器件的硬件结构没有关系,所以设计成功的各类逻辑功能块软件有很好的兼容性和可移植性。它几乎可用于任何型号和规模的FPGA/CPLD 中。从而使得产品设计效率大幅度提高。可以在很短时间内完成十分复杂的系统,设计这正是产品快速进入市场最宝

4、贵的特征美国TI公司认为一2个ASIC 80%的功能可用IP 核等现成逻辑合成,而未来大系统的CPLD/FPGA设计仅仅是各类再应用逻辑与IP 核的拼装。其设计周期将更短与ASIC 设计相比FPGA/CPLD 显著的优势是开发周期短投资风险小。产品上市速度快市场适应能力强和硬件升级回旋余地大而且当产品定型和产量扩大后可将在生产中达到充分检验的VHDL 设计迅速实现ASIC 投产。二、课题研究的主要内容和预期目标1主要内容本次课题,主要研究的是如何利用 CPLD 制系统的主控模块,该模块主要能实现如下几个功能:对初始频率信号的显示、分频、输入控制分频、分频后的显示。完成软件编程的同时,对 CPL

5、D 开发板进行调试,实现功能的仿真显示。键盘DSPCPLD 显示器2预期目标1)预期设计一个奇偶分频器 ,利用 CPLD 软件编程实现数字时钟电路,主要由DSP,CPLD,键盘和显示器四部分组成。2)由于主要是对主控模块进行实现,那么利用 CPLD 设计分频器程序编译成功后,还需要对编译好的程序进行波形图的仿真,通过调节参数来观察程序能否实现数字时钟的显示。3)然后利用 CPLD 的开发板进行硬件调试。三、课题研究的方法及措施1研究方法3首先要对分频器的和 COLD 进行了解,这需要查阅一定的资料,清楚分频器的原理,各项功能的实现,CPLD 的硬件功能和其内部的逻辑功能。因为本次研究完成的主要

6、是对频率分频器的主控模块进行编程,其功能模块主要时分日的显示、日期的显示、秒表的显示,那么要根据相关的资料对这些模块进行编译。编译通过后,先用软件进行波形图的仿真,查看其结果。如果波形图软件仿真通过后,我设想是把程序下到 CPLD 开发板中,通过对按键和显示的设置,并用几次不同的购买情况来验证程序的正确性,到达预期的效果。2研究措施1)收集查阅 DSP 以及 CPLD 的相关资料,对 CPLD 的应用设计情况进行了熟悉了解;了解不同的设计实现方法,比较现有的相关方案,确定本课题研究和实现方案,然后对方案中的各单元进行了必要的分析和研究。2)对所研究的频率分频器功能进行分类,具体分成输入分频,分

7、频和显示功能,并对各个功能进行软件编程。3)完成了编程以后,要利用软件的仿真功能对编完的程序进行波形图仿真,通过波形的变化来初步判断程序是否满足预先所要求的功能。4)然后利用 CPLD 的开发板进行硬件调试。我将设置一个时间,然后根据其他计时工具校正。四、课题研究进度计划12010.9.13 至 2010.11.19分析任务,查阅 DSP 以及 CPLD 设计相关的资料;对资料进行消化,进行理论准备,方案的考虑和设计;基本完成开题报告、中、英文翻译和文献综述。22011.1.21 至 2011.3.10决定最佳设计方案;设计出总体实现电路的构架;确定所需要的元器件。32011.4.1 至 20

8、11.4.22对功能模块进行软件编程,各单元模块通过调节参数进行软件仿真;然后利用 CPLD 开发板,调节各种参数对模块功能进行硬件调试,对结果进行对比分析。42011.4.22 至 2011.5.15完成毕业设计论文;做好答辩用的 PPT,并作好答辩准备。五、参考文献1 褚振勇,翁木云.FPGA 设计及应用M.西安:西安电子科技大学出版社,200.742 柯庚.PLD 与 SOPC 系统设计技术M. 北京:国防工业出版社,2006.13 Peter J.Ashenden. VHDL 设计指南M. 北京:机械工业出版社,2005.64 曾繁泰等. EDA 工程实践M . 北京:清华大学出版社,

9、2004.75 徐志军,徐光辉. CPLD/FPGA 的开发与应用M . 北京: 电子工业出版, 2002.16 王开军,姜宇柏等面向 CPLD/FPGA 的 VHDL 设计M北京:机械工业出版社,2006,10.7 邢建平,曾繁泰VHDL 程序设计教程M北京:清华大学出版社,2005,11.1 黄正瑾,徐坚等.CPLD 系统设计技术入门与应用M.北京:电子工业出版社,2002,3.2 宋万杰,罗丰等.CPLD 技术及其应用M.西安:西安电子科技大学出版社,1999,9.3 俞一鸣,唐薇等.Altera 可编程逻辑器件的应用与设计M.北京:机械工业出版社,2007,7.4 王道宪.CPLD/F

10、PGA 可编程逻辑器件应用与开发M.北京:国防工业出版社,2004,1.5 Operating requirements for Altera DevicesEB/OL,2006-6. http:/ 田瑞利,陈海滨等.基于 CPLD 的数控分频器及其应用J.电子技术,2004,1:53-55.7 MAX 3000A Programmable Logic Device FamilyEB/OL,2004-3. http:/ 彭丹,雷华明.基于 CPLD 的线阵 CCD 驱动电路设计与实现J.光电子激光,2007(7):803-807.9 张红润,张亚凡等.FPGA/CPLD 应用设计 200 例M

11、.北京:北京航空航天大学出版社,2009,1.10 张丕状,李兆光.基于 VHDL 的 CPLD/FPGA 开发与应用M.北京:国防工业出版社,2009,6.11 子明.CPLD 测试方法研究J.电子测量,2010,1(1):38-43.5毕业论文文献综述电气工程及自动化可编程逻辑器件摘要:描述可编程逻辑器件的发展历程,CPLD 的构造原理以及 CPLD/FPGA 的开发系统的简介和可编程逻辑器件的发展方向。关键词:可编辑逻辑器件;CPLD 的构造;应用领域;VHDL 语言;发展方向1 引言随着可编程逻辑电路和 EDA 技术的发展,现代电子设计技术进入一个全新的阶段,可编程逻辑器件已经是电子设

12、计的一个重要技术基础,在逻辑电路设计及嵌入式系统设计方面,以 CPLD/FPGA 为代表的可编程逻辑器件已经逐步取代了传统的标准器件。2 可编程逻辑器件的发展历程早期的的电子管、晶体管、小规模集成电路、中规模集成电路、大规模集成电路发展到超大规模集成电路以及许多具有特定功能的专用集成电路。随着半导体工艺的日益飞速发展,集成电路产业已经成为当今非常热门的产业之一。 1集成电路包括专用集成电路(ASIC)和通用的可编程器件(PLD) 12。虽然 ASIC 也能够实现将大量逻辑功能继承与单片 IC 之中,但是 PLD 具有更高的灵活性,同时能够缩短开发周期,加快产品的上市时间,因此 PLD 获得了广

13、泛的应用。 23随着技术的发展,早期的通用 PLD 慢慢的退出了历史舞台,复杂可编程逻辑器件(CPLD)和现场可编程门阵列(FPGA)渐渐成为主流产品。 1234FPGA 是 Xilinx 公司于1985 年首次推出的,它采用了 CMOS-SRAM 工艺,内部由多个独立可编程逻辑模块组成。CPLD 是在 20 十几 80 年代末期在系统可编程技术出现以后,在可查出可编程逻辑器件的基础上发展起来的。 34可见,CPLD 和 FPGA 两种可编程逻辑器件鸽子具有自己的特点和逻辑功能,两者之间具有互补功能,因此两者之间能够相互发展,成为两只领导可编程逻辑器件技术发展的力量,缺一不可。 52.1 CP

14、LD 的构造CPLD 是具有固定输入和输出数目的任何组合逻辑汉书在可编程只读存储器中,以输出为输入的查找表方式来实现,能实现 PCB 板上几个简单 PAL 互连功能的器件的扩展。 16CPLD 是复杂的 PLD,专指那些集成规模大于 1000 门的可编程逻辑器件,大多数采用浮栅6型电可擦写可编程储存器(EEPROM ),可反复编程,不用每次上电重新下载。 6CPLD 由可编程逻辑的功能块围绕一个可编程互连矩阵构成,由固定长度的金属线实现逻辑单元之间的互连,并增加了 I/O 控制模块的数量和功能。可以把 CPLD 的基本结构堪称由可编程逻辑阵列(LAB)、可编程 I/O 控制模块和可编程内部连线

15、(PIA)等三部分组成,如图:I/O 控制模块可编程逻辑阵列由若干个可编程逻辑宏单元组成,可编程逻辑宏单元内部主要包括与阵列、或阵列、可编程触发器和多路选择器等电路,能独立地配置为时序或组合工作方式。7在 CPLD 的宏单元内,通常含有两个或两个以上的触发器,其中一个触发器与输出端相连,其余触发器的输出可以通过相应的缓冲电路反馈到与阵列,从而与其他触发器一起构成较复杂的时序电路。 272.2 CPLD 和 FPGA 的应用领域随着成本、功耗和容量的不断改善,现在 CPLD 器件已经大面积渗透到消费电子和汽车电子应用市场。Altera 展示的基于 FPGA 及 CPLD 开发的产品在消费电子、通

16、信、汽车电子、数字电视领域的应用表明它们不断适应新市场需求使得 CPLD 的应用呈现多极化态势。89比如,Lionic 公司的网络安全中应用的是 Altera 带有 Nios处理器的 Cyclone,其作用是实现硬件加速包扫描,而 Nios处理器则可以在现场进行病毒的升级更新,并在 ASIC 种应用 Nios 授权许可直接来开发 ASSP,使得客户可以最低成本获得高速安全性能的解决方案,72.3 CPLD 和 FPGA 的开发系统CPLD的开发软件含有各种输入工具、仿真工具、版图设计工具和编程器等全线产品。因此电路设计人员无需专门的集成电路的深层知识,就可进行电路设计,可以用于设计用户所需要的

17、数字逻辑电路,而且便于擦除修改,使用灵活。极大地缩短了开发周期,增强了可靠性,提高了灵活性。 10Altera公司的MAX+plus开发系统是一个完全集成化、易学易用的可编程逻辑设计环境。应用该软件,就可以完成对CPLD的设计、校验、器件编程等工作。每个开发系统都有自己的描述语言,为了便于各系统之间的兼容,IEEE发布了几种标准语言,最常用的有CHDL和Verilog。VHDL是Very High Speed Integrated Circuit HDL,即超高速集成电路硬件描述语言,该语言曾于1987年和1993年两次被定为IEEE的标准,它可以快速地描述和综合FPGA的设计。 12411与

18、其他硬件描述于洋相比,它在编程是更规范,程序结构更适合整个系统的硬件结构,复合各模块的信号时序关系以及数据的走向。它有以下几个特点:(1)、以VHDL语言从事设计工作,不需要考虑线路的布局问题,更加类似于软件商的高级语言,具备更强的模块化能力,并拥有良好的可读性以及程序的移植性。(2)、画原理图的设计方式需要由算法转换成硬件概念,再将硬件概念转变成一个个硬件单元,而VHDL比较接近算法的推演,可减少设计周期,加快产品的完成。(3)、拥有高效率的生成代码,能够节省大量的资源。3、总结目前,CPLD 与 FPGA 逻辑器件正向着低电压、低功耗、系统内可重构和高速的可预测延时器件的方向发展,很多生产

19、厂商不断采用多种新技术、新工艺来提高 CPLD 与 FPGA 逻辑器件的容量,增加软件的功能。相信在不久的将来,CPLD 会成为系统级平台设计的首选,在通信、自动控制、信息处理等领域发挥更加重要的作用。参考文献1 黄正瑾,徐坚等.CPLD 系统设计技术入门与应用M.北京:电子工业出版社,2002,3.2 宋万杰,罗丰等.CPLD 技术及其应用M.西安:西安电子科技大学出版社,1999,9.3 俞一鸣,唐薇等.Altera 可编程逻辑器件的应用与设计M.北京:机械工业出版8社,2007,7.4 王道宪.CPLD/FPGA 可编程逻辑器件应用与开发M.北京:国防工业出版社,2004,1.5 Ope

20、rating requirements for Altera DevicesEB/OL,2006-6. http:/ 田瑞利,陈海滨等.基于 CPLD 的数控分频器及其应用J.电子技术,2004,1:53-55.7 MAX 3000A Programmable Logic Device FamilyEB/OL,2004-3. http:/ 彭丹,雷华明.基于 CPLD 的线阵 CCD 驱动电路设计与实现J.光电子激光,2007(7):803-807.9 张红润,张亚凡等.FPGA/CPLD 应用设计 200 例M.北京:北京航空航天大学出版社,2009,1.10 张丕状,李兆光.基于 VHDL

21、 的 CPLD/FPGA 开发与应用M.北京:国防工业出版社,2009,6.11 子明.CPLD 测试方法研究J.电子测量,2010,1(1):38-43.9毕业设计(20_ _届)基于 CPLD 的数字时钟设计摘 要随着电子技术的发展,当前数字系统的设计正朝着速度快、容量大、体积10小、重量轻的方向发展。电子设计自动化的关键技术之一是要求用形式化方法来描述系统的硬件电路,即要用所谓硬件描述语言来描述电路。所以硬件描述语言及相关的仿真、综合等技术的研究是当今电子设计自动化领域的一个重要课题。C PLD 具 有 编 程 灵 活 、 集 成 度 高 、 设 计 开 发 周 期 短 、 适 用 范

22、围 宽 、 开 发工 具 先 进 、 设 计 制 造 成 本 低 、 对 设 计 者 的 硬 件 经 验 要 求 低 、 标 准 产 品 无 需 测试 、 保 密 性 强 、 价 格 大 众 化 等 特 点 , 可 实 现 较 大 规 模 的 电 路 设 计 , 因 此 被 广泛 应 用 于 产 品 的 原 型 设 计 和 产 品 生 产 之 中 。本系统采用 Altera 公司的 CPLD 芯片 EPM3128A 来完成具有计时、日历、秒表三种功能。编写 VHDL 语言程序下载到 EPM3128A 上。由 EPM3128A 实现对键盘和显示的控制。系统能显示年、月、日、时、分、秒,并具有秒表功能。计时结果通过 6 个 8 字型 LED 显示。通过 4 个设置键,可以对计时系统的参数进行调整。关键词:CPLD;EPM3128A; VHDL;QUARTUS II

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。