基于FPGA的数字电子时钟设计(共54页).doc

上传人:晟*** 文档编号:11364254 上传时间:2022-02-20 格式:DOC 页数:54 大小:1.52MB
下载 相关 举报
基于FPGA的数字电子时钟设计(共54页).doc_第1页
第1页 / 共54页
基于FPGA的数字电子时钟设计(共54页).doc_第2页
第2页 / 共54页
基于FPGA的数字电子时钟设计(共54页).doc_第3页
第3页 / 共54页
基于FPGA的数字电子时钟设计(共54页).doc_第4页
第4页 / 共54页
基于FPGA的数字电子时钟设计(共54页).doc_第5页
第5页 / 共54页
点击查看更多>>
资源描述

精选优质文档- 倾情为你奉上专心-专注- 专业目 录精选优质文档- 倾情为你奉上专心-专注- 专业第一章 绪论现代社会的标志之一就是信息产品的广泛使用,而且是产品的性能越来越强,复杂程度越来越高,更新步伐越来越快。支撑信息电子产品高速发展的基础就是微电子制造工艺水平的提高和电子产品设计开发技术的发展。前者以微细加工技术为代表,而后者的代表就是电子设计自动化(electronic design automatic, EDA)技术。本设计采用的VHDL是一种全方位的硬件描述语言,具有极强的描述能力,能支持系统行为级、寄存器传输级和逻辑门级这三个不同层次的设计;支持结构、数据流、行为三种描述形式的混合描述,覆盖面广,抽象能力强,因此在实际应用中越来越广泛。ASIC是专用的系统集成电路,是一种带有逻辑处理的加速处理器;而FPGA是特殊的ASIC 芯片,与其它的 ASIC芯片相比,它具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检测等优点。在控制系统中,键盘是常用的人机交换接口,当所设置的功能键或数字键按下的时候,系统应该完成该键所对应的功能。因此,按

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。