兰州石化职业技术学院课时计划.DOC

上传人:国*** 文档编号:1167799 上传时间:2018-12-15 格式:DOC 页数:21 大小:1.89MB
下载 相关 举报
兰州石化职业技术学院课时计划.DOC_第1页
第1页 / 共21页
兰州石化职业技术学院课时计划.DOC_第2页
第2页 / 共21页
兰州石化职业技术学院课时计划.DOC_第3页
第3页 / 共21页
兰州石化职业技术学院课时计划.DOC_第4页
第4页 / 共21页
兰州石化职业技术学院课时计划.DOC_第5页
第5页 / 共21页
点击查看更多>>
资源描述

1、- 1 -兰州石化职业技术学院课时计划NO4授课日期授课班级课 题 MAX-PLUS 的功能、特点。 Max+pulsII 的图形设计。教学目的 1熟悉 MAX+PLUSII 的设计界面2掌握 Max+pulsII 的图形设计。重点与难点 Max+pulsII 的图形设计。总线,顶层设计教学辅助手 段多媒体 实训基地参观复习提问授课内容提纲1MAX+PLUSII 简介2常用菜单及按钮3MAX+PLUSII 图形设计课外作业教学心得- 2 -第二课:MAX-PLUS 基本操作4. MAX-PLUS简介4.1. MAX-PLUS的功能、特点设计与结构无关多种设计输入方式设计编译功能可进行设计验证4

2、.2. 常用菜单和按钮的功能点击按钮 启动 MAX+plusII 后出现的第一个窗口是 MAX+plusII 的管理器,对所有的应用功能进行控制。4.2.1. 菜单栏MAX+pulsII 的菜单包括各种命令操作和参数设置,主要有【MAX+pulsII】 、 【File】 、【Assign】 、 【Option】和【Help】5 个下拉菜单。1、 【MAX+pulsII】菜单2、 【File】菜单3、 【Assign】菜单4、 【Options】菜单- 3 -5、 【Help】菜单4.2.2. 工具栏工具栏是各菜单功能的快捷按钮组合。如图所示。5. Max+pulsII 的图形设计5.1. 典型

3、电路的设计5.1.1. 建立项目1、在“File”菜单中选择“Project”的“Name”选项。或单点击工具栏中的 按钮,出现如图 5-1 所示的对话框5-1 输入指定项目名对话框在图 1、2 中的“Directories ”区选中刚才为项目所建的目录,在 “Project Name”区键入项目名,此处为“cntm12” 。在此处建立项目名选择合适的路径- 4 -5.1.2. 图形输入1、 建立图形输入文件在 “File”菜单选择“New” ,或单击 按钮, 在这里我们选择“Graphic Editor File”后,单击 “OK”即可开始建立图形输入文件。(1) 调入元件符号图 5-4 输

4、入元件(2) 保存文件(3) 调入一个三输入与非门和接地符号(4) 连线第一步,按住鼠标左键并拖动鼠标至第二个端口。第二步,松开鼠标左键后,则画好一条连线。第三步,若想删除某条连线,只需用鼠标左键点中该线,被点中的线会变为高亮线(为红色) ,此时按“Delete ”键即可删除。按图 5-8 连好线,并存盘。图 5-8 连接线(5) 添加输入输出引脚- 5 -图 5-10 12 进制计数器电路图5.2. 组建顶层原理图与 BUS 的使用5.2.1. 层次化设计数字系统设计通常采用自顶向下与自底向上向结合的方法。在 Max+pulsII 中,可利用层次化设计方法来实现数字系统的设计。一般是先组建底

5、层设计,然后设计顶层。题目:以前面设计的 12 进制计数器为一个模块,并与其它模块组建一个能计时、分、秒的时钟。先完成 12 进制计数器。如前面的图 5-10 所示。执行【File】菜单下【Creat Default Symbol】选项,可生成符号 “cntm12”,既将我们自己设计的 12 进制计数器编译成库中的一个元件。(1) 建立另一个图形设计文件“cntm60.gdf” ,实现 60 进制记数器。图 5-11 60 进制计数器(2) 完成 60 进制计数器后,采用步骤 2,生成符号“cntm60” 。(3) 建立顶层设计文件“clock.gdf”: 建立新的图形文件,保存为“clock

6、.gdf” 。 将其指定为项目文件(【File】菜单下【Project/Set project to Current File】选项或单击 按钮)- 6 - 在“clock.gdf”的空白处(图形编辑区)双击鼠标左键可打开“Enter symbol”对话框来选择需要输入的元件。在元件列表区可看到刚才生成的两个元件“cntm12”和“cntm60” 。 调用元件“cntm12”和“cntm60” ,经适当连接构成顶层设计文件。图所示。双击“cntm60”元件,可打开底层设计文件“cntm60.gdf” 。(4) 对顶层文件进行编译、仿真,完成此设计。现在,整个设计已经完成,此时,可通过工具条中的

7、 按钮或菜单【Max+pulsII】下【Hierarchy Display】选项打开一个窗口,如图 5-14 所示。图 5-14 - 7 -5.2.2. BUS 的使用图 5-15 cntm60.gdf 文件中输出符号的替换然后重新将“cntm60”生成符号。更新“clock.gdf”文件, 。将连线整理并重新命名如图 5-16 所示。图 5-16 clock.gdf 文件对 BUS 命名时,可以直接使用与器件中某总线输出相同的数组形式,如图 5-17 中的s3.0,也可使用多个单信号名组合而成。图 5-17 对 BUS 命名的说明图中,aa 对应 qh 最高位,bb 对应 qh 中间位,bf

8、 对应 qh 中最低位。- 8 -兰州石化职业技术学院课时计划NO5授课日期授课班级课 题 MAX+pulsII 文本编辑。样本的使用 编译与时序仿真教学目的1 熟悉 MAX+pulsII 文本编辑。2、熟悉样本的使用3编译、时序仿真。重点与难点 时序仿真教学辅助手 段多媒体 实训基地参观复习提问 授课内容提纲1MAX+pulsII 文本编辑。2样本的使用。3编译与时序仿真。课外作业教学心得- 9 -6. MAX+pulsII 文本编辑MAX+plusII 支持 AHDL 语言,VHDL 语言,Verilog HDL 等语言输入。其设计过程与图形方法基本相同,新建文件时,点击 按钮,选中【Te

9、xt Editor File】后点击“OK”按钮。建立文本文件。6.1 一个简单电路的设计6.1.1 用 VHDL 语言设计模为 60 的 8421BCD 计数器输入如下文本:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY cntm60v ISPORT( en : IN std_logic;clear : IN std_logic;clk : in std_logic;count : out std_logic;qh : buffer std_logic_vector(3 downt

10、o 0);ql : buffer std_logic_vector(3 downto 0);END cntm60v;ARCHITECTURE behave OF cntm60v ISBEGINcount=1 when (qh=“0101“ and ql=“1001“ and en=1)else 0;PROCESS(clk,clear)BEGINIF(clear=0)THENqh=“0000“;ql=“0000“;ELSIF (clkEVENT AND clk=1)THENif(en=1)then- 10 -if (ql=9) thenql=“0000“;if(qh=5)thenqh=“0000

11、“;elseqh=qh+1;end if;elseql=ql+1;end if;end if;END IF;END PROCESS;END behave;该文件保存为“cntm60v.vhd” , 6.1.2 文字编辑工具1、 关键字上色: 2、 字型与字体大小控制: VHDL 样本(Templates)MAX+plusII 提供了 VHDL 的各种语法,可以从文字编辑窗口菜单【Templates】下的【VHDL Template】选项选取所需要的语法插入 VHDL 电路设计画面,如图 6-4 所示。图 6-4 VHDL 样本6.1.3 VHDL 范例MAX+plusII 软件提供了一些 VHDL 范例。放在/max2work/vhdl 目录下,或是参考文字

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 企业管理资料库 > 人力资源

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。