OFDM通信系统基带数据处理部分的FPGA实现.docx

上传人:滴答 文档编号:1282062 上传时间:2019-01-27 格式:DOCX 页数:93 大小:1.06MB
下载 相关 举报
OFDM通信系统基带数据处理部分的FPGA实现.docx_第1页
第1页 / 共93页
OFDM通信系统基带数据处理部分的FPGA实现.docx_第2页
第2页 / 共93页
OFDM通信系统基带数据处理部分的FPGA实现.docx_第3页
第3页 / 共93页
OFDM通信系统基带数据处理部分的FPGA实现.docx_第4页
第4页 / 共93页
OFDM通信系统基带数据处理部分的FPGA实现.docx_第5页
第5页 / 共93页
点击查看更多>>
资源描述

1、北京交通大学毕业设计(论文) 中文摘要 正交频分复用( OFDM , Orthogonal Frequency Division Multiplexing)是当前一种非常热门的通信技术。它即可以被看作是一种 调制技术,也可以被看作是一种复用技术。由于它具有抗多径衰落和频谱 利用率高的特点,因此被广泛应用于高速数字通信领域,比如应用于 IEEE 802.11a 无线局域网( WLAN)的物理层等等。 我的毕业设计的核心任务是:采用 FPGA 来实现一个基于 OFDM 技术 的通信系统中的基带数据处理部分,即调制解调器。其中发射部分的调制 器包括:信道编码( Reed-Solomon 编码),交织

2、,星座映射, FFT 和插 入循环前缀等模块。我另外制作了相应的解调器,可以实现上述功能的逆 变换。 另外,我还对 OFDM 技术, IEEE 802.11a 的标准文献,基于 Simulink 的 OFDM 模型和仿真, ALTERA 公司的技术和 IP Core 的使用等方面进行了研究。这些在文章中都有体现。 关键词: OFDM, FPGA, ALTERA I 北京交通大学毕业设计(论文) Abstract Because of wireless environment where multipath maybe significant, Orthogonal Frequency Divi

3、sion Multiplexing (OFDM), a special form of multicarrier modulation (MCM), where a single data stream is transmitted over a number of lower rate subcarriers has recently received considerable attention for its robustness to multipath selective fading and high bandwidth efficiency. It can be seen as

4、either a modulation technique or a multiplexing technique. The main work of my graduate design is to implement baseband data processing section on FPGA of an OFDM-based communication system. It contains Reed-Solomon channel coding (FEC), interleaver, constellation, FFT and Prefix Cyclic parts. In ad

5、dition, I also pay much attention to other aspects during the design. That is, the study of OFDM, IEEE 802.11a Standard, a demo model of OFDM based on Simulink, devices and IP Megacore of ALTERA corp., which are detailed in my paper. Key words: OFDM, FPGA, ALTERA II 北京交通大学毕业设计(论文) 目 录 中文摘要 .I 外文摘要 .

6、II 前言 .1 第一章 OFDM 技术介绍 .4 1.1 通信技术的发展 .4 1.2 OFDM 技术的提出是必然的 .6 1.2.1 无线通信的挑战 6 1.2.2 多径效应的影响 6 1.2.3 多载波技术 .7 1.2.4 提高频谱利用率 .8 1.2.5 OFDM 技术的定义 .9 1.3 OFDM 的发展与应用 .10 1.3.1 FFT 促进了 OFDM 的发展 .10 1.3.2 OFDM 的应用 .10 1.4 OFDM 的结构和各部分原理 .11 1.4.1 OFDM 的结构框图 .11 1.4.2 星座映射 .11 1.4.3 串并变换和 FFT12 1.4.4 插入循环

7、前缀 .13 1.4.5 对于 OFDM 调制过程的理解 . .13 1.5 小结 .15 参考文献 . .15 III 北京交通大学毕业设计(论文) 第二章 802.11a 标准介绍 .17 2.1 802.11a 标准介绍 .17 2.1.1 WLAN 和 802.11.17 2.1.2 IEEE 802.11 标准的获取 .18 2.2 802.11a 部分内容介绍 .18 2.2.1 802.11a 结构 .19 2.2.2 PLCP 子层的 PPDU 帧格式 20 2.2.3 Preamble 的作用 .20 2.2.4 附录 G,一个 OFDM 物理层数据编码的实例 .21 2.3

8、 基于 Simulink 的 802.11a 的 Demo 仿真模型 .21 2.3.1 Demo 模型和获取 .21 2.3.2 模型介绍和实时仿真 22 2.3.3 仿真模型的亮点 .24 2.4 小结 .25 参考文献 26 第三章 FPGA 和 ALTERA.27 3.1 FPGA 技术的优势 27 3.1.1 可编程技术 .27 3.1.2 FPGA 的技术特点 .28 3.1.3 FPGA 相比于 DSP 芯片的优势 .28 3.1.4 FPGA 相比于 ASIC 技术的优势 .30 3.1.5 对 FPGA 发展的预测 .30 3.2 ALTERA 公司的理念 .31 3.2.1

9、 免费的工程师培训 .31 IV 北京交通大学毕业设计(论文) 3.2.2 免费的设计软件 32 3.2.3 OpenCore plus 技术 32 3.2.4 技术支持与服务 33 3.2.5 小节 33 3.3 ALTERA 公司的技术 34 3.4 小节 .35 参考文献 .35 第四章 ALTERA FFT MegaCore 使用指南 36 4.1 FFT MegaCore 介绍 36 4.2 FFT MegaCore 应用流程 37 4.2.1 下载和安装 .37 4.2.2 在工程中插入 FFT MegaCore.37 4.2.3 IP Toolbench 的使用 38 4.2.4

10、 配置参数 39 4.2.5 生成 FFT MegaCore.39 4.2.6 在工程中应用 FFT MegaCore.40 4.2.7 编译和 仿真 .40 4.2.8 OpenCore plus 特性 .41 4.2.9 购买 license 认证 .41 4.3 各项具体参数说明 .42 4.3.1 介绍 .42 4.3.2 FFT 点数( Transform Length) 43 4.3.3 数据位数和旋转因子 43 4.3.4 I/O Data Flow 设置 44 V 北京交通大学毕业设计(论文) 4.3.5 FFT Engine Architecture. 45 4.3.6 复数

11、乘法器实现 46 4.3.7 RAM 选项 46 4.4 FFT MegaCore 的管脚功能和时序 47 4.5 FFT 变换过程中的指数 .48 4.6 FFT MegaCore 的 MATLAB 仿真 .49 4.7 小节 .52 参考文献 52 第五章 OFDM 硬件设计具体细节 .53 5.1 设计理念 .53 5.1.1 基于 IP 的设计理念 .53 5.1.2 不执著于节约硬件资源的思想 54 5.1.3 规范的 HDL 书写风格 55 5.2 整体系统描述 .56 5.2.1 发送端结构 .56 5.2.2 接收端结构 .58 5.3 各模块功能描述 .59 5.3.1 各模

12、块间统一的接口 59 5.3.2 如何设计一个连续数据流的系统 .60 5.3.3 R-S 编解码模块 .61 5.3.4 R-S encoder 输出缓冲 .62 5.3.5 块交织器和解交织器 62 5.3.6 填充数据零 .63 5.3.7 星座映射和解映射 64 VI 北京交通大学毕业设计(论文) 5.3.8 IFFT 和 FFT65 5.3.9 插入和移除循环前缀 65 5.3.10 其它 .65 5.3.11 关于 VHDL 原代码 66 5.4 系统的验证 66 5.4.1 系统编译情况 .66 5.4.2 软件仿真 67 5.4.3 FPGA 硬件验证 .67 5.5 可以做得

13、更好(结束语) 68 参考文献 68 附录一:部分 VHDL 代码 .70 附录二:外文翻译 .79 VII 北京交通大学毕业设计(论文) 前 言 四年的大学时光很快就进入到了最后一个学期,我将在这个学期完成 自己的毕业设计。在我看来,毕设对任何一个毕业生来说都应该是非常重 要的。因为毕设需要通过自己四年来学到的知识来解决一个实际的问题, 这是对自己这四年来综合能力的考验。毕设的水平也就代表了毕业生的水 平,只有出色完成了毕设的人才能算做一个合格的毕业生。 正是有这样的思想,我非常珍惜这个考验自己的机会,花费了近半年 的时间,认真地做好毕设中的每一个工作。尽管最后看来,毕设的作品仍 然显得很简

14、单,但是可以很负责地说,我已经尽到了自己的最大努力。 非常感谢我的指导教师,陶成老师。在他组织的对本科生开展的科研 项目中,我有机会在大三的时候接触到了 OFDM 这种前沿的通信技术。虽 然因为当时我的时间和能力都有限,这个项目没有完成,但是它大大开阔 了我的眼界。所以借着毕设的机会,我决定把这个题目做完。 应该说, OFDM 技术的实现还是非常有难度的,甚至对于一个研究生 来说,也需要很长时间才能完成。但是对于学习通信专业的我来说,实现 一个有难度的通信系统正是一个绝佳的考验自己的机 会。所以我毫不犹豫 地就选择了这个题目。 在完成这个题目的过程中,我遇到了许多的困难,也走了许多的弯路。 但

15、是现在回头再看看整个过程,正是在不断克服困难的同时,我也学到了 许多新的知识,提高了自己的能力。这些克服困难的过程对于我来说是一 种宝贵的财富。 我最初的目标只是研究 OFDM 技术,并计划在掌握 OFDM 的原理后 在 FPGA 上实现一个示意性的 OFDM 通信系统。之所以是示意性的,是因为我计划用最简单的参数来实现一个系统,而不是设计一个具有实际应用 1 北京交通大学毕业设计(论文) 能力的系统。关于 OFDM 这种技术本身,我参考了不少的资料,也有一定 的收获,对于 OFDM 技术的介绍,我写在了第一章中。 开始的时候,我其实自己也不太清楚自己的目标究竟是什么。只是单 纯地想要实现一个

16、 “ OFDM 系统 ” 。但是随着对 OFDM 技术理解的不断深 入,我也对自己工作的具体目标有了一个明确地定位,那就是用 FPGA 实 现基于 OFDM 技术的通信系统中基带部分的数据处理功能。所以我又对最 初的毕设题目进行了修改,变成了现在的这个题目,以期能够更好的反映 出我所做的内容。 在毕设的过程中,另一个给我触动很大的是我查阅到了有关 IEEE 802.11a 的标准。以前我也知道这个 WLAN 的标准是基于 OFDM 技术的, 但是我从没想过可以从一开始就完全按照这个标准来设计一个系统,这样 具有更大的实际价值。等到我再想按照 802.11a 来修改我的设计时,时 间已经不够了。

17、为此我觉得很遗憾,也许这是我经验不丰富的一个表现吧。 所以我最终设计的 OFDM 系统还是只具有示意的性质,并不符合某项标 准。但是我仍然认真学习了一下 802.11a 这个标准,关于这些内容我写 在了第二章中。 我之所以是采用 FPGA 器件来实现 OFDM 技术,而不是 DSP 芯片或 其它的器件,是因为 FPGA 的出色性能。对于 FPGA 的性能,我对 ALTERA 公司的器件和技术比较熟悉,所以在第三章中,我介绍了 FPGA 的优势和 ALTERA 公司的技术概况。 在第四章中,我详细地介绍了 ALTERA FFT MegaCore 的使用。因为我采用了基于 IP 设计数字系统的理念

18、,所以 OFDM 的核心部分, FFT 模块,我采用了这个 IP 来实现。在此,我必须要对 ALTERA 的工程师 Dylan 表示感谢,他为我解答了许多的问题,使我弄清了所有对这个 IP 的问题。 非常感谢, Dylan。 2 北京交通大学毕业设计(论文) 在论文的最后一章,我具体地介绍自己设计的系统的技术细节。我并 没有拘泥于介绍代码本身,而是重点介绍了每个模块的功能。 我摘出了一些 VHDL 代码放在了附录中。另外放在附录中的还有毕设 要求翻译的科技文献的原文和译文。 我很重视网络的作用,所以我毕设的进展一直都放在了我的个人主页 上: http:/。这个网页也使我认识了许多志同道 合的朋

19、友,我们一起交流 OFDM 技术,获益匪浅。西安电子科技大学的郑 峰就是我这些朋友中的一位。在毕设完全整理完成后,我还准备继续将全 部的内容放到网页上,可以在网上下载到所有代码和说明,这些链接我至 少保证到 2007 年初有效。如果有机会,我很希望能和朋友们在网上交流, 互相帮助,共同进步。 还应该值得感谢的是我的两位研究生师兄,杨晓涛和黄伟。他们也在 做和我类似的题目。他们耐心地给我解答了许多问题,在和他们交流的过 程中,我对 OFDM 技术有了更深入的了解。在此向他们表示感谢。 在此总结一下我所做的主要工作: 1、查阅了大量中英文资料,并学习 OFDM 技术的原理; 2、学习 IEEE 802.11a 的标准以及在 Simulink 中的仿真模型; 3、学习 ALTERA 公司的技术,主要是 IP 的使用; 4、编写了几千行的 VHDL 代码来设计一个 OFDM 数据处理系统; 5、在硬件实验板上仿真并通过; 很快就要迎来答辩的日子了,希望能够顺利地通过,为毕设画上一个 圆满的句号。 李想 2006 年 6 月 3

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。