基于FPGA的多功能频率测试仪说明书.doc

上传人:h**** 文档编号:129181 上传时间:2018-07-09 格式:DOC 页数:74 大小:934KB
下载 相关 举报
基于FPGA的多功能频率测试仪说明书.doc_第1页
第1页 / 共74页
基于FPGA的多功能频率测试仪说明书.doc_第2页
第2页 / 共74页
基于FPGA的多功能频率测试仪说明书.doc_第3页
第3页 / 共74页
基于FPGA的多功能频率测试仪说明书.doc_第4页
第4页 / 共74页
基于FPGA的多功能频率测试仪说明书.doc_第5页
第5页 / 共74页
点击查看更多>>
资源描述

1、 基于 FPGA 的简易数字频率计设计 摘 要 本设计是基于 FPGA 的一个简易数字频率计,利用 Verilog 硬件描述语言设计实现了频率计内部功能模块,采用了等精度测量的方法,并结合 NIOS 软核 CPU 嵌入 FPGA,构成SOPC 系统,利用 NIOS 软核对数据浮点运算处理,管理人机交换界面实时显示,跟传统 FPGA+单片机的多芯片系统方案相比更加灵活,系统体积小和功耗小等优势,具备软硬件在系统可编程的功能。 本设计测量频率的方法采用的是等精度测量法,相比直接测频法和测周法有精度更高的特点。前端信号输入调理采用宽带放 大器 AD811 对微弱信号进行放大,经过比较器整形调理后,

2、FPGA 进行采用测量,系统实时性好,精度高。 关键词 : 等精度 频率计 FPGA NIOS Verilog The Design Of Simple Digital Frequency Meter Base On FPGA ABSTRACT The design is based on FPGA digital frequency of a simple plan, use Verilog hardware design realized the frequency of internal function module, the accuracy of the measurement m

3、ethod, etc NIOS and FPGA, soft nuclear CPU embedded systems, using the SOPC constitute NIOS soft check data management man-machine floating point calculations, exchange, with real-time display interface chip traditional FPGA + MCU solutions, system is much more flexible than small volume and low con

4、sumption, have advantages of hardware and software systems in programmable functions. This design method of measuring frequency by measuring method is compared with direct frequency measurement method, and the measuring accuracy of ZhouFaYou characteristics. Front-end signal input by AD811 amplifier

5、 to recuperate broadband amplification, weak signal by comparator plastic, after using measurements on FPGA, system of good real-time, high precision. Key words: Equal precision Frequency counter FPGA NIOS Verilog 目 录 摘 要 I ABSTRACT II 1 概 述 1 2 系统方案分析及比较选择 3 2.1 方案构想 3 2.2 方案比较及选用依据: 4 3 工作原理及其系统框图

6、 5 3.1 计数式直接测频法 5 3.2 计数式直接测周期 6 3.3 等精度测量原理 7 4 硬件系统实现 10 4 1 硬件系统原理图 10 4 1 1 放大电路的选择 10 4 1 2 整形电路 13 4 2 FPGA 控制电路 15 4.2.1 FPGA 芯片选型 15 4.2.2 FPGA 最小系统搭建 16 4.3 FPGA 内部模块 19 4.3.1系统总体框图 19 4.3.2 同步预置模块 20 4.3.3 频率计数模块、时间计数模块 21 4.3.4 数据输出模块,计数器清零模块 21 5 软件系统实现 23 5 1 主程序框图 23 5 2 NIOS II 软核 23

7、5 3 NIOS外部接口与内部介绍 24 5 3 1 nios 软核原理框图 24 5 3 2 nios 软核外部接口 24 6 遇到问题,分析问题,解决问题 25 6 1 输入阻抗问题 25 6 2 放大器选择问题 25 7 电路抗干扰措施 26 8 系统指标测试 27 9 结束语 28 参考文献 29 附录 1: FPGA 硬件描述语言代码 30 附录 2: NIOS C 语言程序代码 33 谢 辞 40 1 概 述 随着微电子技术和计算机技术的迅速发展,特别是单片微机和片上可编程系统的出现和发展,使传统的电子测量仪器在原理、功能、精度及自动化水平等方面都发生了巨大的变化,形成一种完全突破

8、传统概念的新一代测量仪器。频率 计广泛采用了高速集成电路和大规模集成电路,使仪器在小型化、耗电、可靠性等方面都发生了重大的变化。传统的频率计测量误差较大,等精度频率计以其测量准确、精度高、方便等优势将得到广泛的应用。 频率计是电子计数器的一种,在电子技术领域内,频率与电压一样,也是一个基本参数。目前,随着电子技术、微电子技术、数字技术、计算机科学的发展,电子计数器已经大量采用大规模、超大规模集成电路,尤其是与微处理器相结合,实现了程控化和智能化,频率计不断得到发展和完善。尤其是近代以来,随着电子工业的飞速发展, EDA 技术的问世,新型的频率 计具有测量精度高、速度快、自动化程度高、直接数字显

9、示、操作简便等特点。在此基础上附加参数转换电路,可以完成多参数、多功能测量,应用前景非常广阔。 传统的测频方法有直接测频法和测周法,在一定的闸门时间内计数,门控信号和被测信号不同步,计数值会产生一个脉冲的误差。等精度测频法采用门控信号和被测信号同步,消除对被测信号计数产生的一个脉冲的误差。等精度频率测量方法消除了量化误差,可以在整个测试频段内保持高精度不变,其精度不会因被测信号频率的高低而发生变化。采用FPGA 作为控制核心的等精度频率计,可以充分利用 FPGA 高速数据采集技术较容易实现等精度测频。通过 FPGA 对同步门的控制,使被测信号和标准信号在闸门时间内同步测量,为了提高精度,将电子

10、计数功能转为测周期,采用多周期同步测量技术,实现等精度测量。 随着电子设计技术的飞速发展,专用集成电路 ASIC、用户现场可编程门阵列 (FPGA)及复杂可编程逻辑器件 (CPLD)的复杂度越来越高,数字通信、工业自动化控制等领域所用的数字电路及系统的复杂程度也越来越高。设计这样复杂的电路及系统也不再是简单的个人劳动,而需要综合许多专家的经验和知识才能够完成。硬件描述语言 Verilog 顺应这种潮流,迅速发展,目前已得到广泛的应用。 FPGA 的结构灵活,其逻辑单元、可编程内部连线和 I O 单元都可以由用户编程,可以实现任何逻辑功能,满足各种设计需求。其速度快,功耗低,通用性强,特别适用于

11、复杂系统的设计。使用 FPGA 还可以实现动态配置、在线系统重构(可以在系统运行的不同时刻,按需要改变电路的功能,使系统具备多种空间相关或时间相关的任务)及硬件软化、软件硬化等功能。 在大多数的研制过程中,人们习惯把 FPGA 技术跟 MCU 技术相结合的方式来完成设计。FPGA 有速度快,灵活等特点,速度快的优势来 源于 FPGA 的硬逻辑方式。由于 FPGA 的逻辑功能全部用硬件电路实现,故所有的延迟只来源于门电路,而一般门电路的延迟都在 ns级别。但与 MCU 相比, FPGA 实现的功能较为简单。你无法想象用硬件电路可以实现一个windows 操作系统。所以人更习惯把 FPGA 在绝大

12、多数系统中充当配角,比如,为系统的主控 MCU 扩展某种接口等等。现在, FPGA 有向 MCU 发展的趋势。当然,最终 FPGA 不会变成MCU,最终 FPGA 会发扬其优点,成为比 MCU 更为强大的东西。目前有些 FPGA 已带有模拟IO,很多 FPGA 可嵌入 8051, ARM 等软 核,尽管现在由于成本等因素,这类应用并不多,但是这个发展路线不会错。一些厂商已经考虑把软核变成硬核,也就是在 FPGA 中嵌入 MCU,一旦类似的产品出现,实现 systerm on one chip 便变得非常容易。本设计采用的就是目前相对成熟的 NIOS 软核嵌入到 FPGA 中实现 SPOC。 2

13、 系统方案分析及比较选择 2.1 方案构想 方案一: 系统测频部分采用中小规模数字集成电路,用机械式功能转换开关换档,完成测频率功能。该方案的特点是中小规模集成数字电路应用技术成熟,能可靠的完成频率计的基本功能,但由于系统功能 要求较高,所以电路过于复杂。而且多量程换档开关使用不便。此方案对输入信号作分频整形处理后,再与 1秒脉宽带信号共同输入与非门,其输出作为计数脉冲,由计数器计数,然后锁存、译码输出到数码管显示。 原理框图如图 2-1所示。 图 2 1 中小规模数字集成电路方案框图 方案二: 采用 MCS-51 系列单片机,将欲测量的输入信号( 1Hz 10MHz)进行限幅、放大、整形和分

14、频处理,利用单片机进行频率、周期的测量和计算处理,运算结果并行输出到数码显示。如图 2 2 所示,显然此种方案由于采用单片机技术,利用定时器计时一段时间,在通过计数器在这段时间内计数,实现用计数法测量频率。 图 2-2 基于单片机方案框图 方案三: 采用 FPGA+ nios 软核 cpu 组合,外围信号输入电路经放大整形调理, fpga 采集 模块负责输入信号的采集, nios 负责对采集信号的运算及人机界面的控制,如图 2-3 所示, 为了进一步提高测量频率的精度和实时性,采用等精度测量频率,用 FPGA 可以容易实现 等精度频率的测量。 图 2-3 基于 FPGA 方案框图 2.2 方案

15、比较及选用依据: 显然方案二要比方案一简洁、新颖,但从系统设计的指标要求上看,要实现频率的测量范围 1Hz 10MHz,但由于使用的是计数法测量频率,在频率较低时会产生较大的误差,方案三利用 FPGA 高速的信号采集处理能力,采用等精度测量频率,在整个频率范围测量内都能达到相同的精度,不随频率变化而变化测,因此选用方案三作为具体实施的方案。 3 工作原理及其系统框图 常见的测量原理有三种计数式直接测频法、计数式直接测周法、 等精度测量法。 3.1 计数式直接测频法 计数式直接测频的原理方框图如图 3-1所示。 图 3-1 直接测频法原理图 其中主门具有与门的逻辑功能,主门的一个输入端送人的是频

16、率为 fx 的窄脉冲。它是由被测信号经放大整形后得到的,主门的另一个输入端送入的是来自门控闸门信号时间Ts。因为门控是受时基控制,所以 Ts 既准确有稳定。设计时通过晶振和分频器的配合,可以获得 0.1s、 1s、 10s 等闸门时间,由于主门的与功能,其输出端只有在闸门信号 Ts有效期间才有频率 fx 的窄脉冲输出,并送到计数器去计数,计数值为 N=Ts/Tx=Ts*fx,它与被测信号的频率 fx 成正比,由此可得 fx=N/Ts ( 3.1) 由上式可知,当闸门时间 Ts 为 1s 时, N 值即为被测信号的频率。计数式直接测频 方法由于主门的开启时间与被测信号之间不同步,而使计数器值 N

17、 带有 1 量化误差,且当被测信号频率越低时,该量化误差的影响越大,若再考虑由晶体振荡器引起的闸门时间误差,则对式( 3.1)进行误差的累积与合成运算后,可得到计数式直接测频误差的计算公式如下: ( 3.2) 上式右边第一项为量化误差的相对值,其中 Nx= 1,第二项为闸门时间的相对误差,数值上等于晶体振荡器基准频率的相对不确定度 fc/fc。 分析表明,在 fx 一定时,闸门时间 Ts 选得越长,测量准确度越高,而当 Ts 选定后,fx 越高,由于 1 误差对测试结果的影响减小,测量准确度越高。但是随着 1 误差影响的减小,闸门时间自身的准确度对测量结果的影响不可忽略,这时可认为 fc/fc

18、是计数式直接测频率准确度的极限。 3.2 计数式直接测周期 周期测量基本原理 图如图 3 2 所示: 图 3 2 直接测周法原理图 与计数式直接测频原理方框图相比,其中门控改由输入信号放大、整形和分频后的脉冲控制,所以闸门时间的宽度就等于 K 倍被测信号的周期 KTx,而主门的另一个输入端有晶体振荡器和分频器产生周期为 T0 的时标脉冲信号。由于主门的与功能,它只在 kTx 期间 有时标脉冲信号输出,并由计数器计数,其值为 N。不难看出,被测信号的周期为: Tx=NT0/K ( 3.3) 与计数式测频类似,由于 Tx 和 T0 之间也不是同步的,所以计数值 N 也带有 1 量化误差;此外,由于晶振的不确定度,时标的周期 T0 也存在误差;最后,由于被测输入信号中噪声的影响,使经放大整形后的脉冲周期 Tx 中还引入一种触发误差。对式( 3.3)进行误差累积和合成的运算,可以得到测周期误差的 计算公式如下:

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。