基于FPGA实验教学系统的开发研究说明书.doc

上传人:h**** 文档编号:130860 上传时间:2018-07-09 格式:DOC 页数:50 大小:667.50KB
下载 相关 举报
基于FPGA实验教学系统的开发研究说明书.doc_第1页
第1页 / 共50页
基于FPGA实验教学系统的开发研究说明书.doc_第2页
第2页 / 共50页
基于FPGA实验教学系统的开发研究说明书.doc_第3页
第3页 / 共50页
基于FPGA实验教学系统的开发研究说明书.doc_第4页
第4页 / 共50页
基于FPGA实验教学系统的开发研究说明书.doc_第5页
第5页 / 共50页
点击查看更多>>
资源描述

1、 1 目 录 FPGA 实验教学系统的开发研究 学 生:刘 洋 指导老师: 王 露 三峡大学:理学院 摘 要 : 近年来, 随着集成电路技术的发展,基于现场可编程门阵列 FPGA 的集成电路设计发挥着巨大的作用。特别是随着电子设计自动化 EDA 技术的飞速发展和功能的不断完善,使得现场可编程门阵列 FPGA 成为当今电子设计领域中应用最为广泛的可编程逻辑器件之一,因而也是集成电路 设计教学的必选内容 。所以设计一套实用的 FPGA 实验教学系统是非常必要的。 该实验教学系统具有从简单到复杂、从基础性实验 到自主创新性实验逐步深入的特色,使学生不但能够快速地掌握 FPGA 的设计方法,而且能够充

2、分地调动学生的主观能动性,培养学生的学习兴趣和创新能力。 Abstract: In recent years, with the development of the integrated circuit technology, based on field programmable gates array FPGA integrated circuit design plays a huge role. Especially as the electronic design automation EDA technology rapid development and the continu

3、ous improvement of the function, make the field reprogrammable array FPGA as the modern electronic design field, the most widely used one of programmable logic device, and thus as integrated circuit design teaching content will choose. So design a set of practical FPGA experiment teaching system is

4、very necessary. The experiment teaching system has from simple to complex, from basic experiment to independent innovative experiment stepped-up characteristics, so that students can not only quickly grasp the FPGA design method, and be able to fully mobilize students subjective initiative, cultivat

5、e the students interest in learning and innovation ability. 关键字 : 实验教学 ;电子设计自动化 ;数字系 统 ;FPGA Key word: experimental teaching;EDA ;digital system ;FPGA 引言 随着电子设计自动化 (Electronic Design Automatic)技术飞速发展,它已逐渐成为现代电子技术的核心。随着 EDA 开发工具功能的不断完善,使得现场可编程门阵列 (FPGA,Field Programmable gate Array)技术以空前的规模和速度渗透到各行各业

6、,并成为当 今电子设计领域中应2 用最为广泛的可编程逻辑器件之一。由于 FPGA 具有开发周期短、编程灵活、可靠性高等优点,所以非常适合在实验室中使用 1。 2l世纪的教育是开放的、创新的教育,其主要目标是培养具有创新思维、创新能力的高素质人才。高校的实验室和实验教学恰恰是理论和实践相结合的科研和教学基地,是培养学生创新能力和工程实践能力的重要场所。很多高校在修订的教学培养计划中重点强调要加大实验教学的比例,其中对于 FPGA这种独立性较强且学时较多的实验课可以单独设课。教学培养计划中还明确指出实验教师要不断地改革陈旧的实验内 容和方法,积极开展先进技术领域实验教学内容的研究。因此,将 FPG

7、A引入实验教学之中是非常必要的。这样不但可以使教学跟上科学技术发展的最新形势,还可以培养出符合社会发展需要的高素质人才。 根据本科教学培养计划对 FPGA实验教学的要求,并结合电子技术实验教学的特点而提出本课题。本课题研究的目的就是要设计一套实用的、系统的、适用于本科教学的 FPGA实验教学方案。 FPGA作为 一 类特殊的专用集成电路出现,却有着与以往同类电子器件截然不同的结构和性能,这是进行 FPGA应用研究的最 重要原因。与 ASIC相比可编程逻辑器件 解决方案可以保证产品的上市时间更快,开发周期更短,总体成本更低。随着科研项目的大型化、复杂化、市场化,其开发工具也需要具有高速处理大量数

8、据的能力。 FPGA的可重复编程、易维护、易升级等优点尤其适合在实验室中使用,对 FPGA进行应用研究可以不断地进行设计调整进而满足产品升级或修改的需要,用户为了更好地使用 FPGA器件,也有必要对 FPGA进行深入研究。另外, FPGA的应用已不仅仅是一种单纯的基于某种特定器件的应用开发过程,而是一种极富挑战性和创造性的开拓性的活动。它打破了软硬件之间最后的屏障,使软硬件工程师们有 了真正的共同的语言,它使目前一切仍处于计算机辅助设计和规划的纯软件活动变成了实实在在的实体设计。随着 EDA技术的发展和 FPGA在深亚微米领域的进军,嵌入式通用 FPGA与标准 FPGA器件的陆续推出,片上系统

9、 (SOC, SystemOnChip)已近在咫尺 2。FPGA不可替代的地位及伴随而来的极具知识经济特征的口核产业的崛起正越来越受到业内人士的密切关注 161。 FPGA器件从出现至今只有短短二十多年的发展历史,有很多电子设计工程师以至 FPGA产品的用户对这一器件的特性和优势还不是非常了解。部分有经验的设计师还 是习惯于用单片机等传统工具从事电路设计,这样就影响了电子产品的市场竞争力,也忽略了产品的升级空间。因此十分有必要对 FPGA进行全面细致的分析,从而更好地利用 FPGA的优势为电子设计服务。 一 FPGA的相关知识 1.1 FPGA 简述 3 1985 年, Xilinx 推出第一

10、款 FPGA 产品 XC2064 ,它是一种全新的可编程逻辑,这种器件把门阵列的许多方面,如高密度与早期 FPD 的特性(如现场可编程性)结合在一起。 FPGA 采用了逻辑单元阵列 LCA( Logic CellArray)这一新概念,内部包括可配置逻辑 模块 CLB( Configurable Logic Block)、输出输入模块 IOB( InputOutput Block)和内部连线( Interconnect)三个部分。 FPGA采用不同于 PLD 架构的设计方式,拥有较高的密度和容量,还具有 I/O 多、功耗低等优点,然而其布线复杂,亦导致时序延迟,且呈非固定式,延迟时间较长。 F

11、PGA 的架构主要有 SRAM Base及 Anti-fuse 两种设计模式,其中 SRAM Base 的特点是可重复编程、低功耗、可进行系统重构;Anti-fuse 由于具有一次烧录 (OTP)的特性 ,可在保密性上提供较佳的保护,但也因此无法进行重复修改。目前 PLD/FPGA 供货商全部来自美国公司,主要是 Xilinx、 Altera、 Lattice、 Actel、 Atmel 等。其中以 Xilinx 和 Altera 的市场占有率最大,是最大的可编程集成电路供货商。 ACTEL 公司一直是世界反熔丝技术 FPGA 的领先供应商,是军品级和宇航级器件的主要供应商 3。 FPGA 采

12、用了逻辑单元阵列 LCA( Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB( Configurable Logic Block)、输出输入模块 IOB( Input Output Block)和内部连线( Interconnect)三个部分。 现场可编程门阵列( FPGA)是可编程器件。与传统逻辑电路和门阵列(如 PAL, GAL及 CPLD 器件)相比, FPGA 具有不同的结构, FPGA 利用小型查找表( 161RAM)来实现组合逻辑,每个查找表连接到一个 D 触发器的输入端,触发器再来驱动其他逻辑电路或驱动 I/O,由此构成了既可实现组合逻辑功能又可实现时

13、序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到 I/O 模块。 FPGA 的逻辑 是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与 I/O 间的联接方式,并最终决定了 FPGA 所能实现的功能, FPGA 允许无限次的编程 。 1.2 FPGA 的发展趋势分析 FPGA 发展主要有以下几个值得注意的方向: ( 1 )规模越来越大,集成度越来越高。早期的 FPGA 规模只有几千门, 2006 年 5 月份, Xilinx公司推出世界上第一个 65nm FPGA 系列 Virtex-5。基于 65nm 三极栅氧

14、化层技术、 11 层铜布线工艺、低 K 材料、新型镍硅自对 准技术、新型 ExpressFabric 技术和 ASMBL 架构,可以提供 330 000 个逻辑单元(可编程逻辑门约 660 万门)和 1 200 个用户 I /O。 ( 2 )速度不断提高,性能不断提升。 Xilinx 2006 年推出的 Virtex-5 LX 性能和利用率都很高,同4 时功耗大幅度降低。 Virtex-5 LX FPGA 比上一代 90nm FPGA 提供高出 30%的性能,少占用 45%的硅片面积,以及提供比上一代 90nm FPGA 低 35%的业界最低动态功耗。 Virtex-5 LX 系列还通过性能优

15、化的 IP 块拥有了 550MHz 时钟频率。高性能 SelectIO 特性提供了到 667Mbps DDR2 SDRAM和 1200Mbps QDR II SRAM 等外部存储器的最快连接。 ALtera 公司于 2006 年 11 月份推出 Stratix III的 65nmFPGA 系列。 Stratix III 比前一代器件快 25%,密度是前一代 FPGA 的 2 倍,功耗降低了50%,支持四十多个 I/O 接口标准,具有业界一流的性能、灵活性和信号完整性 4。 ( 3 ) I P 库的利用。当前具有 IP 内核的系统级 FPGA 的开发主要体现在两个方面:一方面是FPGA 厂商将

16、IP 硬核(指完成版图设计的功能单元模块)嵌入到 FPGA 器件中;另一方面是大力扩充优化的 IP 软核(指利用 HDL 语言设计并经过综合验证的功能单元模块),用户可以直接利用这些预定义的、经过测试和验证的 IP 核资源,有效地完成复杂的片上系统设计。 ( 4 )价格越来越低。 FPGA 市场的激烈竞争推动了价格的不断下调。基于 SRAM 的 FPGA 的价格下降很快,每 1 万门的单价在 2004 年底降至 1 美元,到 2005 年降至 0.5 美元。 Altera 公司于2005 年第二季度开始批量生产的低端 FPGA Cyc1oneII,其约 33 万门的产品将以 22 美元的价格供

17、货。相当于每一万门的单价为 0.65 美元左右。 ( 5 )向可编程系统芯片( SOPC)方向发展。可编程系统级芯片( SOPC)具有 ASIC 的高集成度、低功耗、小尺寸、低成本的优点,同时具有 FPGA 的低风险、灵活和快速上市的优点。 Altera 推出的支持新款 Cyclone II FPGA 系列的 Nios II 嵌入式处理器,允许设计者在很短的时间内构建一个完整的可编程系统芯片,风险和成本比中小规模的 ASIC 小。实现 PSOC 主要有两种途径 ,一种是在FPGA 中嵌入专用功 能的 IP 核,实现 SOC 的功能,另一种 是将可编程逻辑 IP 核嵌入到 SOC 中。FPGA

18、不断向高集成度、大容量、高速、低功耗、低价位的方向发展,目前最高水平的 FPGA 已采用 65nm、 11 层铜布线,规模已达到 330 000 个逻辑单元(可编程逻辑门约 660 万门)和 1 200 个用户 I/O,速度已达到 550MHz。 FPGA 发展另一个值得注意的方向是 IP 的利用和可编程系统集成。经过 70 年的不断发展 ,FPGA 已由当初的 1200 门发展成为今天的百万门级。通过不断更新优化产品架构和生产工艺 ,实现了更多的逻辑单元、更高的 性能、更低的单位成本和功耗。 FPGA(现场可编程逻辑器件)产品的应用领域已经从原来的通信扩展到消费电子、汽车电子、工业控制、测试

19、测量等广泛的领域。而应用的变化也使 FPGA 产品近几年的演进趋势越来越明显:一方面, FPGA 供应商致力于采用当前最先进的工艺来提升产品的性能,降低产品的成本;另一方面,越来越多的通用IP(知识产权)或客户定制 IP 被引入 FPGA 中,以满足客户产品快速上市的要求。此外, FPGA企业都在大力降低产品的功耗,满足业界越来越苛刻的低功耗需求。第一 时间采用新工艺提升性能5 降低成本, 半导体产品的集成 度和成本一直在按照摩尔定律演进。在这方面,作为半导体产品的重要一支 可编程逻辑器件也不例外。最先进的半导体工艺几乎都会在第一时间被应用在 FPGA 产品上。而每一次工艺升级带来的优势,都会

20、在产品的功耗、最高运行频率、容量以及成本上得到体现。引入更多通用和定制 IP 向解 决方案供应商转变, 近 5 年来, FPGA 的应用已经从过去通信基础设备这一非常窄的领域迅速扩展到了今天非常广泛的应用领域。在许多新兴和快速成长的市场上, FPGA 作为核心器件而被广泛采用。无线通信、工业、科学及测量、医疗设备、音视频广播、汽车、计算、存储应 用和快速发展的消费品市场,都成为 FPGA 业务发展的重点领域。在这种情况下, FPGA 企业也开始了相应的转型,以适应新的发展需求。采用各 种技术路线争做低功耗之王,电池供电应用的迅猛增长刺激了全球市场对低功耗半导体的需求。今天,系统设计人员面对更加

21、严格的系统总体功耗限制。与此同时,这类应用所要求的功能、性能和复杂度也在增加,但却不能以增加电池为代价。为此,原来在功耗指标上并不占优的 FPGA 产品开始采用各种新技术来降低和优化功耗 5。 1.3 FPGA的设计流程 一般来说,完整的 FPGA 设计流程如图 2.1 所示,包 括设计准 备、设计输入、功能仿真、设计处理、时序仿真和下载调试等主要步骤 6。 图 2.1 FPGA 的设计流程 (1)设计准备 6 在系统设计之前,首先要进行的是方案论证、系统设计和器件选择等准备工作。设计人员需要根据任务要求,如系统的功 能和复杂程度,对工作速度和器件本身的资源、成本及连线的可布性等方面进行权衡,

22、选择合适的设计方案和合适的器件类型。 (2)设计输入 设计输入是根据工程师的设计方法将所设计的功能描述给 EDA 软件。常用的设计输入方法有以下四种形式:硬件描述语言 (HDL)输入、原理图输入、波形输入和状态机输入。目前进行大型工程设计时,最常用的是硬件描述语言 (HDL)设计输入方法,其中应用最为广泛的是 VHDL 语言和VedlogHDL 语言。它们的共同特点是利用“自顶向下”的设计方法,利于模块的划分与复用,可移植性好,通用性好,设计不因 芯片的工艺与结构的变化而变化,更利于向 ASIC 的移植。后三种是常用的辅助设计输入方法,它们只能在某些特殊情况下用于缓解设计者的工作量使用,并不适

23、合所有的设计。 (3)功能仿真 电路设计完成后,要用专用的仿真工具对设计进行功能仿真,验证电路功能是否符合设计要求。功能仿真有时也被称为前仿真。常用的仿真工具有 Model Tech 公司的 ModelSim, Synopsys 公司的VCS, Cadence 公司的 NC Verilog 和 NC VHDL 等 。通过功能仿真可以及时发现设计中的错误,加快设计进度,提高设计的可靠性。 (4)设计处理 设计处理是 FPGA 设计中的核心环节。在设计处理过程中,编译软件将对设计输入文件进行综合优化、综合后仿真、实现和布局布线等工作 7。 综合优化 综合优化 (Synthesize)是指将硬件描述

24、语言、原理图等设计输入翻译成与门、或门、非 f-1、 RAM以及触发器等基本逻辑单元组成的逻辑网表,并根据目标和约束条件优化所生成的网表,输出 edf和 edn 等文件,供 FPGA 厂家的布局布线器进行实现。常用的专业综合优化工具为 Synplicity 公司的 Synplify 和 Xilinx 公司的 XST。 实现 综合后 生成的逻辑网表与芯片实际的配置情况还有较大差距。此时应该使用 FPGA 厂商提供的工具软件,根据所选芯片的型号,将综合输出的网表适配到具体的 FPGA 器件上,这个过程就叫做实现 (Implementation)。 Xilinx 的实现过程分为翻译 (Transla

25、te)、映射 (Map)和布局布线 (Place&Route)等 3 个步骤。因为只有器件开发商最了解器件的内部结构,所以实现步骤必须选用器件开发商提供7 的工具软件。 布局布线 布局和布线工作是在上面的设计工作完成后由软件自动完成的,它以最优的方式对逻辑元件布局,并准确 地实现内部元件间的互连。布线后软件自动生成报告,提供有关设计中各部分资源的使用情况等信息。 (5)时序仿真 时序仿真是将布局布线的延时文件返标到设计中,使仿真不但包含门延时信息还包含线延时信息。与前面各种仿真相比,这种后仿真包含的延时信息最为全面、准确,能较好地反映芯片的实际工作情况。 (6)下载调试 时序仿真完成后,软件就

26、可产生供器件编程使用的数据文件。对于 FPGA 来说,产生位流数据文件 ( bit 文件 ),然后将编程数据写入具体的 FPGA 芯片中或其它配置芯片中进行调试。 1.4 FPGA 的应用 连接逻辑 ,控制逻辑是 FPGA 早期发挥作用比较大的领域也是 FPGA 应用的基石事实上在电路设计中应用 FPGA 的难度还是比较大的这要求开发者要具备相应的硬件知识(电路知识)和软件应用能力(开发工具)这方面的人才总是紧缺的,往往都从事新技术,新产 品的开发成功的产品将变成市场主流基础产品供产品设计者应用在不远的将来,通用和专用 IP 的设计将成为一个热门行业!搞电路设计的前提是必须要具备一定的硬件知识

27、在这个层面,干重于学,当然,快速入门是很重要的,越好的位子越不等人电路开发是黄金饭碗 。 把相对成熟的技术应用到某些特定领域 如通讯,视频,信息处理等等开发出满足行业需要并能被行业客户接受的产品这方面主要是 FPGA 技 术 和专业技术的结合问题,另外还有就是与专业客户的界面问题产品设计还包括专业工具类产品及民用产品,前者重点在性能,后者对价格敏感产品设计以实现产品功能为主要目的, FPGA 技术是一个实现手段在这个领域, FPGA 因为具备接口,控制,功能 IP,内嵌 CPU 等特点有条件实现一个构造简单,固化程度高,功能全面的系统产品设计将是 FPGA 技术应用最广大的市场,具有极大的爆发

28、性的需求空间产品设计对技术人员的要求比较高,路途也比较漫长不过现 在整个行业正处在组建 “首发团队 “的状态,只要加入,前途光明产品设计是一种职业发展方向定位,不是简单的爱好就能做到的!产品设计领域会造就大量的企业和企8 业家,是一个近期的发展热点和机遇 8。 系统级的应用是 FPGA 与传统的计算机技术结合,实现一种 FPGA 版的 计算机系统 如用 Xilinx V-4, V-5 系列的 FPGA,实现 内嵌 POWER、 PC、 CPU,然后再配合各种外围功能,实现一个基本环境,在这个平台上跑 LINUX 等系统这个系统也就支持各种标准外设和功能接口(如图象接口)了这对于快速构成 FPG

29、A 大型系统来讲是很 有帮助的 9。这种 “山寨 “味很浓的系统早期优势不一定很明显,类似 ARM 系统的境况但若能慢慢发挥出 FPGA 的优势,逐渐实现一些特色系统也是一种发展方向。若在系统级应用中,开发人员不具备系统的扩充开发能力,只是搞搞编程是没什么意义的,当然 设备驱动程序 的开发是另一种情况,搞系统级应用看似起点高,但不具备深层开发能力,很可能会变成爱好者,就如很多人会做网页但不能称做会编程类似以上是几点个人开发,希望能帮助想学 FPGA 但很茫然无措的人理一理思路。这是一个不错的行业,有很好的个人成功机会。但也肯定是一个竞争很激烈的行 业,关键看的就是速度和深度当然还有市场适应能力

30、。 二 系统硬件设计 2.1 系统硬件框图 针对所需的功能,设计出系统的相应硬件平台,硬件平台主要是由嵌入式处理器模块、存储器模块、包括以太网接口、 USB 接口和电源等外部接口电路模块组成 10。 如 图 3.1 所 示。 图 3.1 FPGA 的硬件框图 FPGA 选用的是 Xillinx 公司 Spartan3 系列中的 XC3S5000,主要的开发工具是 Xillinx ISE 集成开发环境。 Xilinx 是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广9 泛的高级集成电路、软件设计工具以及定义系统级功能的 IP( Intellectual Property)核

31、,长期以来一直推动着 FPGA 技术的发展。 Xilinx 的开发工具也在不断地升级,由早期的 Foundation 系列逐步发展到目前的 ISE 9.1i 系列,集成了 FPGA 开发 的所有功能,其主要特点有:包含了 Xilinx 新型SmartCompile 技术,可以将实现时间缩减 2.5 倍 ,能在最短的时间内提供最高的性能,提供了一个强大的设计收敛坏境; 全面支 持 Virtex-5 系列器件(业界首款 65nm FPGA);集成式的时序收敛环境有助于快速、轻松地识别 FPGA 设计的瓶颈; 可以节省一个或多个速度等级的成本,并可在逻辑设计中实现最低的总成本 11。 2.2 具体电

32、路设计 本文设计思想是采取 FPGA 芯片用于 接口电路 的 扩展 ,主要是扩展大容量的 FLASH,以存放文件, 针对所需的功能, 设计出系统的相应硬件平台,硬件平台主要是由嵌入式处理器模块、存储器模块、包括以太网接口、 USB 接口和电源等外部接口电路模块组成。 硬件设计 需要根据各种性能指标、成本、开发周期等因素,确定最佳的实现方案。 2.2.1 Flash 存储器接口电路 Flash 存储器 具有低功耗、大容量、擦写速度快、可整片或分扇区在系统编程 、擦除等特点,因而在各种嵌入式系统中得到了广泛的应用。系统中用了一片 AMD29LV320D FLASH 存储器,其单片存储容量为 32M

33、 位( 4M 字节),工作电压为 2.7V3.6V, 16 位数据宽度。 AMD29LV320D 仅需 3V 电压即可完成在系统的编程与擦除操作,通过对其内部的命令寄存器写入标准的命令序列,可对 Flash 进行编程 、整片擦除、按扇区擦除以及 其他操作 12。 Flash 存储器在系统中通常用于存放程序代码,系统上电或复位后从此获取指令并开始执行,由于,在进行系统整体设计时,可以通过使用 ISE 对引脚进行配置,所以对于 AMD29LV320D FLASH 的三个功能引脚 nCE、 nOE、 nWE,可以各接一个 EP1C12Q240C8 的通用 I/O 脚即可。 2.2.2 SDRAM 存

34、储器接口电路 与 Flash 存储器 相比较, SDRAM 不具有掉电保持数据的特性,但其存取速度大大高于 Flash存储器,且具有读 /写的属性,因此, SDRAM 在系统中主要用作程序的运行空间、数据及堆栈区。当系统启动时, CPU 首先从复位地址 0x0 处读取启动代码,在完成系统的初始化后,程序代码调10 入 SDRAM 中运行,以提高系统的运行速度 13。同时,系统及用户堆栈、运行数据也都放在 SDRAM中。 系统中用了一片 HY57V641620 SDRAM 存储器,其存储容量为 4 组 x16 位 (8M 字节 ),工作电压为 3.3V,常见封装为 54 脚 TSOP,兼容 LV

35、TTL 接口,支持自动刷新 (Auto-Refresh)和自刷新(Self-Refresh) 16 位数据宽度。可满足运行 MicroC/OS 操作系统、或者 mClinux 操作系统及各种相对较复杂的算法的运行要求。要在系统中使用 SDRAM,要求微处理器具有刷新控制逻辑,或在系统中另外加入刷新控制逻辑电路。 2.2.3 USB 接口设计 系统采用 Cypress 公司的 SL811HS 芯片作为 USB 接口芯片,它是一种 USB 主机控制器,它能与全速或低速 USB 外围设备通信。 SL811HS 能与各种微处理器、微控制器、 FPGA 以及 DSP,ISA等各种总线进行接口 ,适用于各

36、种要求配置 USB主机或设备的嵌入式系统。在 USB接口电路设计中,SL811HS 的 8 位数据线直接和 FPGA 芯片的 8 位数据线相连。其中需要注意的是, SL811HS 的 AO引脚和低 8 位地址线的最低位 AO 相连,即与锁存器的地址输出端的最低位相连 14。 2.2.4 串行接口设计 RS232 是应用最为广泛的 UART 接口 ,可以方便的实现与计算机的数据通讯;同时在调试基于FPGA的嵌入式操作系统时候 ,可以作为辅助调试接口,结果输出到主机的超级终端上面。因为 FPGA的通用 I/O 引脚可以在后期 通过 ISE 软件定义,所以只需选取 EP1C12Q240C8 的任意四个引脚与电平转换器 MAX3232C 相连,通过 MAX3232C 接 RS232 标准串行接口( DB9),可与 PC 机进行串行通信 15。 2.2.5 电源接口设计 系统需要使用 1.5V、 3.3V 和 5V 三种直流电源,其中, EP1C12Q240C8 内核使用 1.5V 电源,EP1C12Q240C8 的 I/O 口、 MAX3232C、 LCD 接口等器件使用 3.3V 电源, RTL8019AS 使用 5V 电源。为简化系统电源电路的设计,要求整个系统的输入电压为高质量的 5V 直 流电压电源,本系统采用电压转换芯片 B1117 来得到 1.5V 和 3.3V 的电压。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。