基于EDA的交通灯设计说明书.doc

上传人:h**** 文档编号:130973 上传时间:2018-07-09 格式:DOC 页数:84 大小:587KB
下载 相关 举报
基于EDA的交通灯设计说明书.doc_第1页
第1页 / 共84页
基于EDA的交通灯设计说明书.doc_第2页
第2页 / 共84页
基于EDA的交通灯设计说明书.doc_第3页
第3页 / 共84页
基于EDA的交通灯设计说明书.doc_第4页
第4页 / 共84页
基于EDA的交通灯设计说明书.doc_第5页
第5页 / 共84页
点击查看更多>>
资源描述

1、 本科生毕业论文(设计) 基于 EDA 技术的交通灯设计 姓 名: 指导教师: 院 系: 信息工程学院 专 业: 电子信息工程 提交日期: 1. 论文题目字体黑体小二号,居中,不超过 20 汉字,尽量避免题目中出现标点符号。 2. 题目与文章内容相符。不符者要修改。 3. 姓名,指导老师,专业字体小三号宋体。 本页为样版 目 录 中文摘要 . 2 外文摘要 . 3 引言 . 4 1 绪论 . 5 1.1 EDA 技术概述 . 5 1.1.1 EDA 技术的发展与应用 . 5 1.1.2 EDA 技术的基本特征 . 5 1.1.3 EDA 的设计方法 . 5 1.2 硬件描述语言 VHDL .

2、6 1.2.1 VHDL 的简介 . 6 1.2.2 VHDL 的设计方法 . 7 1.3 MaxPlus II 开发 平台系统 . 8 1.3.1 MaxPlus II 简介 . 8 1.3.2 MaxPlus II 的特点 . 9 2交通灯控制系统介绍 . 10 2.1 交通灯控制 系统的功能 . 10 2.2 交通 灯控制系统设计选择 . 10 2.3 交通灯控制系统 设计要求 . 11 2.4 交通灯控制系统的基本组成模块 . 11 2.5 交通灯控制器状态 . 12 3交通灯控制系统的设计 . 12 3.1 交通灯控制器功能描述及设计方法 . 12 3.2 交通灯控制器各模块的程序设

3、计 . 13 3.2.1 控制部分的设计 . 13 3.2.2 显示部分的设计 . 14 3.2.3 分频器部分的设计 . 15 3.3 交通灯控制系统的仿真 . 16 3.3.1 对交通灯控制部分进行仿真 . 16 3.3.2 对交通灯显示部分模块进行仿真 . 17 3.3.3 对交通灯系统进行仿真 . 18 结束语 . 19 参考文献 . 20 致谢 . 21 附录 . 22 黄 山学院本科毕业论文 基于 EDA 技术的交通灯设计 X X 指导老师: X X (黄山学院信息工程学院,黄山,安徽 245041) 摘 要 : 伴随着社会的发展以及人类生活水平的提 高 ,汽车的数量在不断增加。随

4、着 EDA 技术的发展和应用领域的扩大与深入, EDA 技术在电子信息、通信、自动、控制及计算机应用等领域的重要性日益突出。随着汽车数量的不断增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了。所以,设计交通灯来完成这个需求就显的越加迫切了。为了确保十字路口的行人和车辆顺利、畅通地通过 ,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯,其中红灯亮 ,表示该条路禁止通行;黄灯亮表示停车;绿灯亮表示允许通行。 关键词 : EDA; VHDL; PLD; 交通灯 黄 山学院本科毕业论文 Design of Traffic Light Based on EDA Te

5、chnology X X Director: X X ( College of Information Engineering, Huangshan University, Huangshan, China, 245041) Abstract: With the development of society and the improvement of peoples living standard, the number of cars is increasing.Along with the development of DEA technology and its expansion i

6、n application fields, the EDA technology in electronic information, communication, automatic control , computer application and other fields is of growing importance. With the ever increasing number of cars, traffic problems have become increasingly prominent,which leads to it not to be feasible onl

7、y rely on manpower to direct traffic. Therefore, the design of traffic lights to complete this demand was of great urgent. In order to ensure the crossroads of pedestrian and vehicle traffic pass through smoothly, we often use electronically controlled traffic signal to command the traffic. The foll

8、owing is the use of digital electronic design of the traffic lights, the red light says the road is closed to traffic; yellow light means stop and the green light allows us go though the road. Key Words: EDA; VHDL; PLD; the traffic lights 黄 山学院本科毕业论文 引言 随着城市汽车保有量的越来越多,城市的交通拥挤问题正逐渐引起人们的注意。交通灯是交管部门管理城

9、市交通的重要工具。提高十字路口的通行效率,对缓解交通阻塞具有十分重要的现实意义。 传统的交通灯硬件电路设计方法是采用自下而上的设计方法,即根据系统对硬件的要求,详细编制技术规格书,并画出系统控制流图;然后根据技术规格书和系统控制流图,对系统的功能进行细化,合理地划分功能模块,并画出系统的功能框图;接着就进行各功能模块的细化和电路设计;各功能模块电路设计、调试完成后,将各功能模块的硬件电路连接起来再进行系统的调试,最后完成整个系统的硬件设计。采用传统方法设计数字系统,特别是当电路系统非常庞大时,设计者必须具备较好的设计经验,而且繁杂多样的原理图的阅读和修改也给设计者带来诸多的不便。 本文采用 V

10、HDL 语言设计其硬件,以可编程逻辑器件为载体 ,EDA 软件为开发工具 ,设计一个交通灯。第一阶段,通过参阅文献及相关资料确定交通灯的设计方案,构建电路功能模块,利用相关软件绘画出电路图,要求图形绘制完整、精确、符合规范 。第二阶段,以硬件描述语言为系统逻辑描述的表达方式在 EDA 软件平台上编写源程序,要求设计合理、性能得到优化、器件利用率最高。第三阶段,对所编写的程序进行仿真以确定能否达到要求并根据具体情况对程序不断改进。最后下载配置至EDA 实验箱中检测设计结果实现最后的设计与仿真。 黄 山学院本科毕业论文 1 绪论 1.1 EDA 技术概述 EDA 是电子设计自动化 (Electro

11、nic Design Automation)的缩写,在 20 世纪 90 年代初从计算机辅助设计 (CAD)、计算机辅助制造 (CAM)、计算机辅助测试 (CAT)和计算机辅助工程 (CAE)的概念发展而来的。 EDA 技术就是以计算机为工具,设计者在EDA 软件平台上,用硬件描述语言 HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 1.1.1 EDA 技术的发展与应用 电子设计技术的核心就是 EDA 技术, EDA 是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成

12、果而研制成的电子 CAD 通用软件包,主要能辅助进行三方面的设计工作,即 IC 设计、电子电路设计和 PCB 设计 1 。 EDA 技术 是伴随着计算机、集成电路、电子系统设计的发展 , 经历了计算机辅助设计 (CAD)、计算机辅助工程设计 (CAE)和 电子系统设计自动化 ( ESDA)三个发展阶段 2 。 20 世纪 70 年代为 CAD 阶段 , 这一阶段人们开始用计算机 辅助 进行 IC 版图 编辑和 PCB 布局布线 , 取代了手工操作。 80 年代为 CAE 阶段 ,与 CAD 相比 , 除了纯粹的图形绘 制功能外 , 又增加了电路功能设计和结构设计 , 并通过电气连接网表将两者结

13、合在一起 ,以实现工程设计。 90 年代为 ESDA 阶段 , ESDA 的 基本 特征是设计人员按“自顶向下”的设计方法 ,对整个系统进行方案设计和功能划分 , 然后采用硬件描述语言 (HDL)完成系统行为级设计 , 最后通过综合器和适配器生成最终的目标器件。 ESDA 的出现 , 使设计师开始实现“概念驱动工程”的梦想 , 从而摆脱了大量的辅助设计作 , 把精力集中在创造性的方案与概念构思上 , 极大地提高了系统的效率 , 缩短了产品的研制周期。 1.1.2 EDA 技术的 基本特征 EDA 代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照 “ 自顶向下 ” 的设计方法,

14、对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路 (ASIC)实现,然后采用硬件描述语言 (HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法 3 。 EDA 设计方法被越来越多的硬件设计人员采用,基本上取代了传统的硬件设计方法。 1.1.3 EDA 的 设计方法 “ 自顶向下 ” 的设计方法。高层次设计是一种 “ 自顶向下 ” 的全新设计方法,这黄 山学院本科毕业论文 种设计方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在

15、系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避燃计工作的浪费,又减少了逻辑功能仿真的 工作量,提高了设计的一次成功率。 1.2 硬件描述语言 VHDL 1.2.1 VHDL 的简介 硬件描述语言 (HDL)是 一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计 4 。例如一个 32 位的加法器,利用图形输入软件需要输人 500 至 1000 个

16、门,而利用 VHDL 语言只需要书写一行 “A B C“即可。而且 VHDL 语言可读性强,易于修改和发现错误。早期的硬件描述语言,如 ABEL、 HDL、AHDL,由不同的 EDA 厂商开发,互不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。为了克服以上不足, 1985 年美国国防部正式推出了高速集成电路硬件描述语言 VHDL, 1987 年 IEEE 采纳 VHDL 为硬件描述语言标准 (IEEE STD 1076)。 VHDL 是一种全方位的硬件描述语言,包括系统行为级。寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此 VHDL几乎覆盖了以

17、往各种硬件俄语言的功能,整个自顶向下或由底向上的电路设计过程都可以用 VHDL 来完成 5 。 VHDL 还具有以下优点: ( 1) VHDL 的宽范围描述能力使它成为高层进设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。 ( 2) VHDL 可以用简洁明确的代码描述来进行复杂控制逻辑艄设计,灵活且方便,而且也便于设计结果的交流、保存和重用。 ( 3) VHDL 的设计不依赖于特定的器件,方便了工艺的转换。 ( 4) VHDL 是一个标准语言,为众多的 EDA 厂商支持,因此移植性好 。 用 VHDL语言编程实现数字电子系统硬 件设计容易做到技术共享。他

18、人用 VHDL语言实现了 IP 模块和软核 (soft core),程序包 (package)和设计库 (library)很容易移植到自己的系统设计中。 许多 设计不用从头开始,少花钱办快事,缩短产品设计周期,加速产品更新,提高设计效益,这也是 VHDL 语言得到广泛应用的重要原因。 值得指出的是: Verilog HDL 等硬件描述语言获得较为广泛的应用。但最适合于用 CPLD VHDL 等器件实现数字电子系统设计的硬件描述语言当属于 VHDL 6 。 黄 山学院本科毕业论文 1.2.2 VHDL 的设计方法 传统的硬件电路设计方法是采用自下而上的设计方法,即根据系统对硬件的要求,详细编制技

19、术规格书,并画出系统控制流图;然后根据技术规格书和系统控制流图,对系统的功能进行细化,合理地划分功能模块,并画出系统的功能框图;接着就进行各功能模块的细化和电路设计;各功能模块电路设计、调试完成后,将各功能模块的硬件电路连接起来再进行系统的调试,最后完成整个系统的硬件设计。采用传统方法设计数字系统,特别是当电路系统非常庞大时,设计者必须具备较好的设计经验,而且繁杂多样的原理 图的阅读和修改也给设计者带来诸多的不便 7 。 当电路系统采用 VHDL 语言设计其硬件时,与传统的电路设计方法相比较,具有如下的特点: 第一,采用自上而下的设计方法。 即从系统总体要求出发,自上而下地逐步将设计的内容细化

20、,最后完成系统硬件的整体设计。在设计的过程中,对系统自上而下分成三个层次进行设计: 第一层次是行为描述。所谓行为描述,实质上就是对整个系统的数学模型的描述。一般来说,对系统进行行为描述的目的是试图在系统设计的初始阶段 ,通过对系统行为描述的仿真来发现设计中存在的问题。在行为描述阶段,并不真正考虑其实际的操作和算法用何种方法来实现,而是考虑系统的结构及其工作的过程是否能到达系统设计的要求。 第二层次是 RTL 方式描述。这一层次称为寄存器传输描述(又称数据流描述)。如前所述,用行为方式描述的系统结构的程序,其抽象程度高,是很难直接映射到具体逻辑元件结构的。要想得到硬件的具体实现,必须将行为方式描

21、述的 VHDL 语言程序改写为 RTL 方式描述的 VHDL 语言程序。也就是说,系统采用 RTL 方式描述,才能导出系统的逻辑表达式,才能进行逻辑综 合。 第三层次是逻辑综合。即利用逻辑综合工具,将 RTL 方式描述的程序转换成用基本逻辑元件表示的文件(门级网络表)。此时,如果需要,可将逻辑综合的结果以逻辑原理图的方式输出。此后可对综合的结果在门电路级上进行仿真,并检查其时序关系。 第二,应用逻辑综合工具产生的门级网络表,将其转换成 PLD 的编程码点,即可利用 PLD 实现硬件电路的设计。 由自上而下的设计过程可知,从总体行为设计开始到最终的逻辑综合,每一步都要进行仿真检查,这样有利于尽早

22、发现设计中存在的问题,从而可以大大缩短系统的设计周期。 第三,系统可大量采用 PLD 芯片。 由于目前众多制造 PLD 芯片的厂家,其工具软件均支持 VHDL 语言的编程。所黄 山学院本科毕业论文 以利用 VHDL 语言设计数字系统时,可以根据硬件电路的设计需要,自行利用 PLD设计自用的 ASIC 芯片,而无须受通用元器件的限制 8 。 第四,采用系统早期仿真。 从自上而下的设计过程中可以看到,在系统设计过程中要进行三级仿真,即行为层次仿真、 RTL 层次仿真和门级层次仿真。这三级仿真贯穿系统设计的全过程,从而可以在系统设计的早期发现设计中存在的问题, 大大缩短系统设计的周期,节约大量的人力

23、和物力。自顶而下的设计方法使系统被分解为各个模块的集合之后,可以对设计的每个独立模块指派不同的工作小组,这些工作小组可以工作在不同地点,甚至可以分属于不同的单位,最后将不同的模块集成为最终的系统模型,并对其进行综合测试和评价。其自顶而下的设计流程如图 1-1 所示 9 : 图 1-1 自顶而下的设计流程 1.3 MaxPlus II 开发平台系统 1.3.1 MaxPlus II 简介 MaxPlus II 的全称是 Multiple Array and Programmable Logic Use System2(多阵列矩阵及可编程逻辑用户系统 2), 是 Altera 公司的全集成化可编程

24、逻辑设计环境。 从最初的第一代 A PLUS,第二代 MaxPlus II,发展到第三代 MaxPlus II, Altera 公司的开发工具软件在发展中不断完善。 MaxPlus II 的版本不升级,功能也越来越强大,目前发行的 MaxPlus II 已经到 10.2 版。 MaxPlus II 的界面友好,在线帮助完备,初学者也可以很快学习掌 握。完成高性能的设计。 其次 ,在进行原理图输入时,可以直接放置 74 系列逻辑芯片,所以对于设计说明书 建立 VHDL行为 门级时序 VHDL 行为仿真 VHDL RTL 级 前端功能仿真 逻辑综合 测试向量生成 硬件 测试 功能仿真 结构综合 设

25、计完成 黄 山学院本科毕业论文 普通爱好者来说,即使不使用 Altera 的可编程器件,也可以把 MaxPlus II 作为逻辑仿真工具,另外 , 不用搭建硬件电路,即可对自己的设计进行调试, 支持 Altera 公司不同结构的可编程逻辑器件,能满足用户各种各样的设计需要。 MaxPlus II 具有突出的灵活性与高效性,为设计者提供了多种可自由选择的设计方法和工具。 1.3.2 MaxPlus II 的特点 1. MaxPlus II 的编译核心支持 Altera 的 FLEX 10K、 FLEX 8K、 MAX9000、MAX7000、 FLASHlogic、 MAX5000、 Class

26、ic 系列可编程逻辑器件; 2. MaxPlus II 的设计输入、处理与校验功能一起提供了全集成化的一套可编程逻辑开发工具,可加快动态调试,缩短开发周期; 3. MaxPlus II 支持各种 HDL 设计输入,包括 VHDL、 Verilog 和 Altera 的 AHDL; 4. MaxPlus II 可与其他工业标准设计输入、综合与校验工具链接。与 CAE 工具的接口符合 EDIF200 和 209、参数化模块库 ( LPM )、 Verilog、 VHDL 及其它标准。设计者可使用 Altera 或标准 CAE 设计输入工具去建立逻辑设计,使用 MaxPlus II 编译器对 Altera 器件设计进行编译,并使用 Altera 或其它 CAE 校验工具进行器件或板级仿真。 MaxPlus II 支持与 Synopsys、 Viewlogic、 Mentor Graphics、 Cadence、 Exemplar、Data I/O、 Intergraph、 Minc、 OrCAD 等公司提供的工具接口 。利用 MaxPlus II 软件平台进行设统设计。具体的设计流程图如图 1-2 所示 10 : 设计要求 系统产品 设计输入 设计编译 设计修改 设计校验 器件编程 在线校验 图 1-2 MaxPlus II 软件的设计流程图

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。