基于FPGA的智能电梯控制系统的实现毕业论文.doc

上传人:h**** 文档编号:138013 上传时间:2018-07-10 格式:DOC 页数:49 大小:400KB
下载 相关 举报
基于FPGA的智能电梯控制系统的实现毕业论文.doc_第1页
第1页 / 共49页
基于FPGA的智能电梯控制系统的实现毕业论文.doc_第2页
第2页 / 共49页
基于FPGA的智能电梯控制系统的实现毕业论文.doc_第3页
第3页 / 共49页
基于FPGA的智能电梯控制系统的实现毕业论文.doc_第4页
第4页 / 共49页
基于FPGA的智能电梯控制系统的实现毕业论文.doc_第5页
第5页 / 共49页
点击查看更多>>
资源描述

1、基于 FPGA 的智能电梯控制系统的实现 1 编 号: 审定成绩: XX 大学 XX 学院 毕业设计(论文) 设计(论文)题目: 基于 FPGA 的智能电梯控制系统的实现 单 位(系别) : 学 生 姓 名 : 专 业 : 班 级 : 学 号 : 指 导 教 师 : 答辩组 负责人 : 填表时间: 2014 年 06 月 基于 FPGA 的智能电梯控制系统的实现 2 摘要 智能电梯的编写的过程也不是一帆风顺的。而且我试过好多种方法去实现电梯的状态的转移。起初我想到的肯定是有限状态机。不过由于开始我想到只有六个请求(分别为 16 楼),后来在老师的启发下和东十二楼的电梯实际运行情况我发现, 6个

2、按钮肯定是不够的,所以我又加了 5 个向上的请求按钮和 5个向下的请求按钮,这样总共就有 16 个按钮了,由于当时我没有想到用信号并置的方法,所以需要分析的情况实在是太多了,我也没有信心了。不过问题始终都是要得到解决的,后来我在我们寝室的一个同学的参考书上看到了一个用 VHDL 语言编写的智能电梯 控制器的程序,不过很不完整,它给我的最大的启发就是“信号并置的算法”,我才发现这样一来的话,我的工作量就大大减少了。 当时我不仅采用“信号并置的算法”外,还采纳了它的“以楼层为电梯的状态转移的依据”的思想,这确实是一个不错的方法,不过当时我一直没有任何进展,一是它是用 VHDL 语言编写的,而且我对

3、这个语言不是很熟悉所以不是特别理解。后来竟然干起了把 VHDL 语言翻译成 verilog 语言的工作,这样没有任何含金量的工作让我浪费了不少时间。现在想起来,我才发现我竟然迷失了自己,我原先自己的算法已经被丢失了,留下了的 仅仅是一些他人的程序。“以楼层为电梯的状态转移的依据”的编程方法让我没有得到任何进展,我放弃的这种处理多种状态的方法,继而转向了我原先的“有限状态机”的方法。使用三段式的有限状态机的方法也花了很多时间去修正和改善。实现了基本的功能,当时一遇到比较复杂的情况时(比喻同时有几个人在请求或者是同时有两个在不同楼层的请求时电梯该如何运行呢 ,这些特殊情况我在当时一直没有找到合适和

4、有效的方法去解决 )。 关键词 : 信号并置 verilog 状态机 基于 FPGA 的智能电梯控制系统的实现 3 Abstract Intelligent elevator compilation process is not easy. And I tried a variety of ways to realize the transfer of the state. At first I thought the affirmation is a finite state machine. But due to start I thought only six request (resp

5、ectively for 1 6 / f) and then in teacher inspired and east ten on the second floor of the elevator actual operation situation I found, 6 button affirmation is not enough, so I added five upward request button and five downward request button, so it has 16 button, because at that time I didnt think

6、by signal and inverted method, so need analysis of true is too many, I also have no confidence. Nevertheless the problem are always to be solved, later I in our bedroom is a classmate of reference books on saw a with VHDL language preparation intelligent elevator controller program, but is not compl

7、ete, it gives me the greatest inspiration is “signal and inverted algorithm“, I discovered this way, then my workload is greatly reduced.I was not only use “signal and inverted algorithm“ outside, still adopted its “to the floor for elevator status transfer basis“ thought, it is really a good method

8、, but I havent made any progress, one is it is with VHDL language preparation, and Im not very familiar with the language so not particularly understanding. Later unexpectedly raised the VHDL language translation into verilog language job, so that no measure of work let I waste a lot of time. Now th

9、at I think about it, I found that I had lost themselves, I had his own algorithm has been lost, leaving the others are only some of the program. “To the floor for elevator status transfer basis“ programming method, let I havent got any progress, I give up this handle a variety of state method, then

10、turned to my original “finite state machine“ method. Use the finite state machine 3-sectional methods also spent a lot of time to modify and improve. Realize the basic functions, when a meet more complex situations (parables meanwhile several people in request or is also have two in different floor

11、request? How should the elevator running these special cases I at that time has not find appropriate and effective approach to solve).Abstract KEY WORDS: A signal and buy verilog State machine 基于 FPGA 的智能电梯控制系统的实现 4 目 录 第一章 概述 摘要 . 5 1.1 EDA 概述 . 5 1.1.1 什么是 EDA. 5 1.1.2 EDA 的特 . 6 1.1.3 EDA 的应用 . 6

12、 1.2 FPGA 的简介及特点 . 7 1.3 VHDL 语言及程序概述 . 8 1.3.1 VHDL 语言的发展 . .9 1.3.2 VHDL 语言的特点 . 9 1.3.3 VHDL 语言程序的基本结构 . 11 1.4 状态机的简介 . 11 第二章 电梯控制系统的分析 . 13 2.1 选题的背景 . 13 2.2 电梯控制的 研究背景 . 13 2.3 我国电梯的发展概况 . 13 2.4 电梯设计的具体目的及控制要求 . 14 2.5 电梯控制器设计原理及思路 . 15 2.6 电梯控制系统状态图分析 . 16 第三章 电梯控制系统的设计与实现 . 19 3.1 MAX+PLU

13、SII 的介绍 . 19 3.2 电梯控制系统的 VHDL 语言设计及仿真 . 20 3.2.1 模块示意图和输入输出描述 . 20 3.2.2 模块设计过程 . 23 3.2.3 波形仿真 . 24 3.3 电梯控制系统的实验平台实现 . 30 结论与体会 . .31 致谢 . .33 附件程序 . .34 参考文献 . .48 基于 FPGA 的智能电梯控制系统的实现 5 第一章 概述 摘要 1.1 EDA 概述 EDA 在通信行业 (电信)里的另一个解释是企业数据架构, EDA 给出了一个企业级的数据架构的总体视图,并按照电信企业的特征,进行了框架和层级的划分。 EDA 是电子设计自动化

14、( Electronic Design Automation)的缩写,在 20世纪60年代中期从计算机辅助设计( CAD)、计算机辅助制造( CAM)、计算机辅助测试( CAT)和计算机辅助工程( CAE)的概念发展而来的。 1.1.1什么是 EDA 20 世纪 90 年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻 底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如 CPLD、 FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从

15、而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了 EDA 技术的迅速发展。 EDA 技术就是以计算 机为工具,设计者在 EDA 软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 EDA 技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 利用 EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设

16、计出 IC 版图或 PCB 版图的整个过程的计算机上自动处理完成。 现在对 EDA 的概念或范畴 用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有 EDA 的应用。目前 EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、基于 FPGA 的智能电梯控制系统的实现 6 性能测试及特性分析直到飞行模拟,都可能涉及到 EDA 技术。 1.1.2 EDA 的特 点 (1) 高层综合和优化 为了能更好地支持自顶向下的设计方法 ,现代的 EDA 工具能够在系统进行综合和优化,这样就缩短了设计的周期,提高了设计效率。 (2)采用硬

17、件描述语言进行设计 采用硬件描述语言进行电路与系统的描述是当前 EDA 技术的另一 个特征。与传统的原理图设计方法相比, HDL 语言更适合描述规模大的数字系统,它能够使设计者在比较抽象的层次上对所设计系统的结构和逻辑功能进行描述。采用 HDL 语言设计的突出优点是:语言的公开性和利用性;设计与工艺的无关性; 宽范围的描述能力;便于组织大规模系统的设计;便于设计的复用,交流,保存和修改等。目前最常用的硬件描述语言有 VHDL 和 Verilog HDL,它们都已经成为 IEEE 标准。 ( 3)开放性和标准化 现代 EDA 工具普遍采用标准化和开放性框架结构,任何一个 EDA 系统只要建立了一

18、个符合标准的开放式框架结构 ,就可以接纳其他厂商的 EDA 工具仪器进行设计工作。这样就可以实现各种 EDA 工具的优化组合,并集成在一个易于管理的统一环境下,实现资源共享。 1.1.3 EDA 的应用 随着电子技术的发展,可编程逻辑器件和 eda技术已广泛应用于通信、工业自动化、智能仪表、图像处理、计算机等领域。 EDA(ElectronincDesign Automation,电子设计自动化 )技术是现代电子工程领域的一门新技术,它提供了基于计算机和信息技术的电路系统设计方法。 EDA 技术的发展和推广应用极大地推动了电子工业的发展。随着 EDA 技术的 发展,硬件电子电路的设计几乎全部可

19、以依靠计算机来完成,这样就大大缩短了硬件电子电路设计的周期,从而使制造基于 FPGA 的智能电梯控制系统的实现 7 商可以快速开发出品种多、批量小的产品,以满足市场的众多需求。 EDA 教学和产业界的技术推广是当今世界的一个技术热点, EDA 技术是现代电子工业中不可缺少的一项技术。 1.2 FPGA 的简介及特点 背景 目前以硬件描述语言( Verilog 或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路 (比如 AND、 OR、XOR、 NOT)或者更复杂一些的组

20、合功能比如解码器或数学方程式。在大多数的FPGA 里面,这些可编辑的元件里也包含记忆元件例如触发器( Flip flop)或者其他更加完整的记忆块。 系统设计师可以根据需要通过可编辑的连接把 FPGA 内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品 FPGA 的逻辑块和连接可以按照设计者而改变,所以 FPGA 可以完成所需要的逻辑功能。 FPGA 一般来说比 ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电 能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的 FP

21、GA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的 FPGA 上完成的,然后将设计转移到一个类似于 ASIC 的芯片上。另外一种方法是用 CPLD(复杂可编程逻辑器件备)。 CPLD 与 FPGA 的关系 早在 1980 年代中期, FPGA 已经在 PLD 设备中扎根。 CPLD 和 FPGA 包括了一些相对大数量的可以编辑逻辑单元。 CPLD 逻辑门的密度在几千到几万个逻辑单元之间,而 FPGA 通常是在几万到几百万。 CPLD 和 FPGA 的主要区别是他们的系统结构。 CPLD 是一个有点限制性的结构。这个结构由一个或者多个可编辑的结果之和的逻辑组列和一些相对少量的锁

22、定的寄存器。这样的结果是缺乏编辑灵活性,但是却有可以预计的延迟时间和逻辑单元对连接单元高比率的优点。而 FPGA 却是有很多的连接单元,这样虽然让它可以更加灵活的编辑,但是结构却复杂的多。 CPLD 和 FPGA 另外一个区别是大多数的 FPGA 含有高层次的内置模块(比基于 FPGA 的智能电梯控制系统的实现 8 如加法器和乘法器)和内置的记忆体。一个因此有关的重要区别是很多新的FPGA 支 持完全的或者部分的系统内重新配置。允许他们的设计随着系统升级或者动态重新配置而改变。一些 FPGA 可以让设备的一部分重新编辑而其他部分继续正常运行。 FPGA 工作原理 FPGA 采用了逻辑单元阵列

23、LCA( Logic Cell Array)这样一个概念,内部包括可配置逻辑模块 CLB( Configurable Logic Block)、输出输入模块 IOB( Input Output Block)和内部连线( Interconnect)三个部分。 FPGA 的基本特点 1)采用 FPGA 设计 ASIC 电路 (特定 用途集成电路 ),用户不需要投片生产,就能得到合用的芯片。 2) FPGA 可做其它全定制或半定制 ASIC 电路的中试样片。 3) FPGA 内部有丰富的触发器和 I O 引脚。 4) FPGA 是 ASIC 电路中设计周期最短、开发费用最低、风险最小的器件之一。 5

24、) FPGA 采用高速 CHMOS 工艺,功耗低,可以与 CMOS、 TTL 电平兼容。 可以说, FPGA 芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 FPGA 是由存放在片内 RAM 中的程序来设置其工作状态的,因此,工作时需要对片内的 RAM 进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时, FPGA 芯片将 EPROM 中数据读入片内编程 RAM 中,配置完成后, FPGA进入工作状态。掉电后, FPGA 恢复成白片,内部逻辑关系消失,因此, FPGA能够反复使用。 FPGA 的编程无须专用的 FPGA 编程器,只须用通用的 EPROM、PROM 编程器

25、即可。当需要修改 FPGA 功能时,只需换一片 EPROM 即可。这样,同一片 FPGA,不同的编程数据,可以产生不同的电路功能。因此, FPGA 的使用非常灵活。 1.3 VHDL 语言及程序概述 VHDL 的 英 文 全 名 是 Very-High-Speed Integrated Circuit Hardware 基于 FPGA 的智能电梯控制系统的实现 9 Description Language,诞生于 1982 年。 1987 年底, VHDL 被 IEEE 和美国国防部确认为标准硬件描述语言。 VHDL 主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句

26、外, VHDL 的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。 VHDL 的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可 视部分 ,及端口 )和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是 VHDL 系统设计的基本点。 1.3.1 VHDL 语言的发展 在集成电路制造工艺的发展的过程中,微电子设计工艺已经达到了深亚微米时代,在 EDA 设计中主要有软硬件协作设计的要求,现有的工具支持 S

27、OC 设计尚有难度,迫切需要提高设计能力。在设计语言中,由于 VHL 和 Verilog HDL 是目前通用的设计语言,在设计大 系统时,不够方便直观,所以需要进一步完善。 电子产品随着技术的进步,更新换代日新月异,而掌握电子产品开发研制的动力源 EDA 技术,是我们国家工程技术人员不可推卸的责任,因为中国的设计公司大多 还 处在发展的初级阶段, 所使用的设计工具都是几年前国外的主流工具。 1.3.2 VHDL语言的特点 VHDL 语言能够成为标准化的硬件描述语言并获得广泛应用 , 它自身必然具有很多其他硬件描述语言所不具备的优点。归纳起来 ,VHDL 语言主要具有以下优点: 1 (1) VH

28、DL 语言功能强大 , 设计方式多样 VHDL 语言具有强大的语言结构 , 只需采用简单明确的 VHDL 语言程序就可以描述十分复杂的硬件电路。同时 , 它还具有多层次的电路设计描述功能。此外 ,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现 , 这是其他硬件描述语言所不能比拟的。 VHDL 语言设计方法灵活多样 , 既支持自顶向下的设计方式 , 也支持自底向上的设计方法 ; 既支持基于 FPGA 的智能电梯控制系统的实现 10 模块化设计方法 , 也支持层次化设计方法。 (2) VHDL 语言具有强大的硬件描述能力 VHDL 语言具有多层次的电路设计描述功能,既可描述系统

29、级电路 , 也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时, VHDL 语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。 VHDL 语言的强大描述能力还体现在它具有丰富的数据类型。 VHDL 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。 (3) VHDL 语言具有很强的移植能力 VHDL 语言很强的移植能力主要体现在 : 对于同一个硬件电路的 VHDL 语言描述 , 它可以从一 个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一

30、个工作平台上去执行。 (4) VHDL 语言的设计描述与器件无关 采用 VHDL 语言描述硬件电路时 , 设计人员并不需要首先考虑选择进行设计的器件。这样做的好处是可以使设计人员集中精力进行电路设计的优化 , 而不需要考虑其他的问题。当硬件电路的设计描述完成以后 ,VHDL 语言允许采用多种不同的器件结构来实现。 (5) VHDL 语言程序易于共享和复用 VHDL 语言采用基于库 ( library) 的设计方法。在设计过程中 , 设计人员可以建立各种可再次利用的模块 , 一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计 , 而是一些模块的累加。这些模块可以预先设计或者使用以前设计中的存档模块 , 将这些模块存放在库中 , 就可以在以后的设计中进行复用。 由于 VHDL 语言是一种描述、模拟、综合、优化和布线的标准硬件描述语言 , 因此它可以使设计成果在设计人员之间方便地进行交流和共享 , 从而减小硬件电路设计的工作量 , 缩短开发周期。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。