对EDA课程设计的思考.doc

上传人:99****p 文档编号:1667658 上传时间:2019-03-10 格式:DOC 页数:4 大小:23.50KB
下载 相关 举报
对EDA课程设计的思考.doc_第1页
第1页 / 共4页
对EDA课程设计的思考.doc_第2页
第2页 / 共4页
对EDA课程设计的思考.doc_第3页
第3页 / 共4页
对EDA课程设计的思考.doc_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

1、对 EDA 课程设计的思考【摘要】介绍了 EDA 技术的先进性并将该技术引入本科教学,从课程设计的选题、设计方法、存在的问题,以及同学们对课程设计的感想等方面,探讨了 EDA 课程设计的一些新思路,新方法,以提高学生主动学习的积极性。实践证明,同学们的动手能力都得到了提高,受到了较好地效果。 【关键词】EDA VHDL 课程设计 EDA(Electronic Design Automation)即电子设计自动化。所谓自动化是指利用计算机完成电子系统设计。EDA 技术以计算机为工具,代替人工完成数字系统的逻辑综合、布局布线和设计仿真等工作。设计者只需完成对系统功能的描述,就可以由计算机软件进行处

2、理,得到设计结果。系统设计的各个过程还可以分别进行仿真,保证设计的正确性,使得设计能够一次成功。而修改设计就如同修改软件一样方便。利用 EDA工具进行设计,使得系统体积小,可靠性高,保密性好,可以极大地提高设计效率。 EDA 技术为电子设计提供了先进的工具,它已成为衡量一个国家电子工业现代化水平的重要标志之一。因此,培养具有 EDA 技术水准的专业人才势在必行。最近几年,EDA 软件的 Pc 平台进展迅速,普通的设计师也可以得到廉价的、在 WINDOWS 上运行的 EDA 软件。随着 EDA 技术的日益普及,相信它会成为每一个电子工程师的主要设计工具。由此,我们在我校电子信息工程专业开设了 E

3、DA 这门课,并安排了两周的课程设计。一、EDA 课程设计的要求 在进行 EDA 课程设计中,我们每个题目都涉及到了计数器的设计。从这方面内容入手,利用学生学到的数字电子技术的知识,先用图形法来完成计数器的设计,从宏库中调用四位二进制计数器 74161、三输入与非门 NhND3、GND、电源 VCC 等器件。用同步置零来实现模为 12 的计数器。然后连线、存盘、编译、仿真。最后让学生们把自己设计的结果下载成功,提高他们的自信心。接下来再用 VHDL 语言进行该计数器的设计。这样,学生很快就对 Ouartus 软件入门了,掌握了图形输入法和文本输入法的设计。在此基础上让学生深入思考,再扩展一些功

4、能模块实现所设计的题目。比如,数字钟的设计,通过计数器的设计,完成了秒、分、时三个基本模块的设计,再扩展计时、报时、闹时等模块即可完成课程设计的任务。通过这样的过程,提高学生们的分析问题能力和动手设计能力。 由于 Ouartus 软件的灵活性,实现设计可有多种方案,这就给同学们提供充分的思维空间。有的同学采用 VHDL 硬件描述语言设计;有的同学用软件包提供的宏库设计;有的同学采用 VHDL 语言、宏库、基本门电路的混合输入法,以上几种设计方案都可以实现所要求的设计内容,但各有特色。采用门电路进行设计,从节省资源角度上看是最佳的;采用宏库设计,比较清楚简练,节省时间;而采用 VHDL 语言设计

5、,流程比较清晰,是设计较大规模数字系统的方向。 两周后,大部分同学都完成了自己的设计任务,并通过了验收。成绩优秀的设计结果可供其他同学参观学习。 二、EDA 课程设计的感想 以往电子方面的课程设计是在实验中用面包板搭接线路完成的。常由于接线中紊乱和实验面包板与导线接触不良,学生把相当多的时间和精力用在查线上,二真正用于设计电路的时间不超过 50%,学生的设计积极性也不能很好地发挥出来。从本次课程设计来看,将基于 EDA 的计算机辅助设计软件与本科生电子技术课程设计相结合,大大提高了电子技术课程设计的功能和效率,同学们收益很大。在课程设计中学生们普遍积极性较高,因教学上机时间较少,很大同学加班加

6、点,只要有空机位就来上机。同学之间讨论气氛热烈。在同学们上交来的报告中,有的同学说:“应该说设计之前,面对如此复杂的一个系统设计,自己是不知从何下手,但经过和同学的交流与讨论,设计思路渐渐明晰起来,设计方案也逐渐形成。总的来说,这样的课程设计对自己的系统设计水平以及硬件水平都有了提高,有可能的话,应多开展这样的课程设计。 ”也有的同学说:“本次课程设计,使我们了解了 ASIC 设计的全过程,从最初的原理设计、原理框图、功能分块、器件选用、电路图绘制、电路仿真,直至最后的编译、仿真、适配、下载都有了一定的了解,这对我们以后的工作有很大的帮助。 ”有的同学说:“课程设计的时间虽然短,但对 EDA

7、产生了浓厚的兴趣。在翻阅了大量文献的基础上,终于完成了课程设计的任务。虽然还有很多的不足之处,可是我有信心在以后的日子里,通过不断的学习来弥补自己的不足。 ”总之,90%以上的学生都对这次课程设计方法予以肯定,收到了较好效果。 通过课程设计的实践我们感受到,在短短两周的时间内,同学们不仅深化了已学过的数字电路知识,还初步掌握了 EDA 的使用方法和编译技巧,是有限的学时得以无限的扩展。同时,通过课程设计向着系统设计的自动化,系统生成单片化,系统设计与实现用户化的发展方向,是同学们增强了电子系统设计自动化的观念。课程设计也存在一些不足,在两周的时间内,同学们既要学习、掌握 EDA 开发工具,又要完成课题设计与下载实现,从而限制了选题的深度和难度。在今后的教学中如何将不断发展的新技术引入到有限的教学学时中去还需探索,还有许多问题有待进一步解决。坚信通过教学的一系列改革,必将不断有所收获。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。