简易函数信号发生器设计【毕业论文】.doc

上传人:文初 文档编号:280992 上传时间:2018-08-23 格式:DOC 页数:41 大小:10.67MB
下载 相关 举报
简易函数信号发生器设计【毕业论文】.doc_第1页
第1页 / 共41页
简易函数信号发生器设计【毕业论文】.doc_第2页
第2页 / 共41页
简易函数信号发生器设计【毕业论文】.doc_第3页
第3页 / 共41页
简易函数信号发生器设计【毕业论文】.doc_第4页
第4页 / 共41页
简易函数信号发生器设计【毕业论文】.doc_第5页
第5页 / 共41页
点击查看更多>>
资源描述

1、 本科毕业设计 ( 20 届) 简易函数信号发生器设计 所在学院 专业班级 电子信息工程 学生姓名 学号 指导教师 职称 完成日期 年 月 - - 1 - - 摘 要 在电子的测量工作中 ,函数信号发生器的使用场合不断增多 ,对性能需求提出了不同的需求,一般要求输出的波形质量好,输出范围宽,波形 准确、且频率转换速度以及分辨率满足使用要求。 函数发生器常包含正弦波、锯齿波、方波、脉冲波等波形。函数波形发生器在设计上分为模拟式和数字合成式。数字合成式函数信号源( DDS)无论就频率、幅度乃至信号的信噪比( S/N)均优于模拟式,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(

2、phase Jitter)及频率漂移均能达到相当稳定的状态。 简易函数发生器设计用以满足一般场所的正弦波、三角波、方波的测量需求,本函数发生器的设计制作通过 FPGA 模块电路完成,主要有电源模块 ,信号处理模块,可变时钟模 块,人机按键输入模块, LED 指示模和数码管显示模块等组成。应用数字式直接合成与数模信号的转换完成了 100Hz 1KHz 频率的波形输出。 关键词 : FPGA,信号发生器 ,DAC, DDS - - 2 - - Abstract Work in electronic measurement, the function signal generator increas

3、ing the use of occasions, made demands on the performance of different needs, the general requirements of good quality waveform output, the output range, the waveform accurately, and the frequency conversion speed and resolution to meet the use requirements. Function generator often include sine, sa

4、wtooth, square wave, pulse wave and other wave. Waveform generator function into the design of analog and digital composite. Synthetic function digital signal source (DDS) Regardless of frequency, amplitude and even the signal to noise ratio (S / N) are better than analog, the phase-locked loop (PLL

5、) design for precise frequency output signal is not only, but also the phase jitter (phase Jitter) and the frequency drift can reach a fairly stable state. Simple function generator designed to meet the general place of the sine wave, triangle wave, square wave measurement needs, design the function

6、 generator circuit is completed through the FPGA module, the main power supply module, signal processing module, the variable clock module, one Machine key input module, LED digital display indicates module mode and so on. Direct Digital Synthesis and application of digital-analog signal conversion

7、completed 100Hz 1KHz frequency waveform output. Keyword: FPGA; Signal generator; DAC; DDS. - - 3 - - 目 录 1 引言 - 1 - 1.1 课题研究的背景 - 1 - 1.2 课题研究的目的及意义 - 1 - 1.3 函数信号发生器设计的主要任务 - 2 - 2系统总体设计方案 - 3 - 2.1 系统设计要求 - 3 - 2.2 系统设计方案 - 3 - 2.3 系统的组成、功能、架构 - 4 - 2.3.1 系统设计的组成 - 4 - 2.3.2 系统设计的功能 - 4 - 2.3.3 系统

8、设计的 框架 - 4 - 3系统的硬件设计 - 5 - 3.1 设计应用的基本模块介绍 - 5 - 3.1.1 EP2C5T144C8 的简介 - 5 - 3.1.2 DA 转换模块简介 - 5 - 3.1.3 滤波芯片 LT6600-2.5 简介 - 6 - 3.1.4 集成运放 MAX4016 简介 - 7 - 3.1.5 显示模块数码管简介 - 7 - 3.2 硬件电路总体设计方案 - 9 - 3.2.1 硬件总体模块的设计 - 9 - 3.2.2 主要模块的方案论证和选择 - 9 - 3.3 各功能模块设计 - 11 - 3.3.1 主控制器模块电路的设计 - 11 - 3.3.2 D

9、A 转换模块电路的设计 - 12 - 3.3.3 电源电路的设计 - 12 - 3.3.4 按键输入电路的设计 - 13 - 3.3.5 显示模块的电路设计 - 13 - 3.3.6 系统设计总体电路图 - 14 - 4系统的软件设计 - 15 - 4.1 函数发生器的整体软件设计 - 15 - 4.2 三种波形产生的软件设计 - 16 - 4.3 波形输出选择 的软件设计 - 17 - 4.4 波形输出频率控制 的软件设计 - 17 - 4.5 顶层链接图 - 19 - 5 系统安装调试 - 20 - 5.1 硬件安装 - 20 - 5.2 硬件调试 - 21 - 5.3 软件调试 - 24

10、 - - - 4 - - 6 结论 - 28 - 致 谢 错误 !未定义书签。 参考文献 - 29 - 附 件 - 30 - 1三种波形的软件设计程序 - 30 - 2波形输出选择的软件设计程序 - 33 - 3波形输出频率控制的软件设计程序 - 33 - - - 1 - - 1 引言 1.1 课题研究的背景 随着世界范围内电子产品的不断更新换代,中国的各类电子测量仪器也走进了高速发展的道 路, 这 为我国 的 国民经济、科学教育、特别是国防军事的发展 做出 了巨大贡献。 函数信号发生器即通常所讲的波形发生器是实 验室等场合常用的信号源,它广泛应用于通信、监控、雷达以及现代化仪器仪表等领域,几

11、乎所有电参量的测量都要用到函数信号发生器 1。 随着电子技术的飞速发展,电子的测量工作对函数信号发生器的性能提出了更高的要求,不仅要求输出的波形质量好,输出范围宽,还对输出的波形准确度、波形频率转换速度以及分辨率都提出了更高的要求。为适应现代电子技术的不断发展,设计出高性能的函数信号发生器是十分必要的。 1.2 课题研究的目的及意义 目前我国在研制信号发生器的方面有很好的成果。但总体上我国在这方面的发展中出现的问题很多都不 容乐观,比如产业结构不合理、产业集中于劳动力密集型产品,产品的安全性、稳定性等方面;技术密集型产品明显的落后于那些发达的工业国家;生产要素决定性作用正在削弱;产业能耗大但产

12、率低,环境污染严重;企业总体规模偏小、技术创新能力较低、管理水平落后等。目前国内的成熟产品大多都是专用芯片,这些产品有着高成本、控制不灵活等缺点,并且我国在信号发生器的种类及其性能都与国外的同类产品有着较大的差距,开发出高性价比的产品,在与国外产品的竞争中拥有性价比的优势,打破垄断,对我国的电子产业的发展有着重大的意义。 函数信号发生器是 工业生产、产品开发、科学研究等领域必备的工具。函数信号发生器根据用途不同,有产生不同波形要求的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件。此次设计中采用了集成芯片,充分考虑产品的可靠性、体积等因素 ,提高设计的合理性。通过此次论文的设计,

13、学习电子仪器的测量与制作 ,提高各类芯片的了解与应用 ,提高模拟电路、数字电路的设计能力,为今后找工作打下平台。 - - 2 - - 1.3 函数信号发生器设计的主要任务 函数信号发生器设计的主要任务有以下几个方面: ( 1) 查阅相关资料,熟悉 FPGA 的原理、结构以及 FPGA 的使用,掌握并且能熟练运用 VHDL 语言、 Quartus 6.0、 MATLAB7.0 等软件。 ( 2) 对直接数字率合成( DDS)技术进行研究与分析,以其为基础,使用Quartus 6.0 等软件,利用 FPGA 设计能输出正弦波、方波、三角波、锯齿波等多种波形的函数信号发生器并且进行测试。 ( 3)

14、正弦波、方波、三角波、锯齿波的频率范围在 100Hz 1KHz 之间。 - - 3 - - 2 系统总体设计方案 2.1 系统设计要求 根据系统的设计要求,具体功能可细化为: ( 1) 设计频率范围 为 100Hz 1KHz,频率可调,可调间隔为 100Hz 的方波、锯齿波、正弦波。 ( 2) 正弦波,通过预先计算 64 个点的正弦波表,查表生成正弦波。 ( 3) 通过交替送出全 0 和全 1,生成方波数据。 ( 4) 通过全 0不断加相同数据,到全 1 后,不断减同相同数据,生成锯齿波数据。 在存储器中存入函数波形信号,通过控制器将需要的数字信号输出,使用D/A 转换器进行逐点恢复,其精度取

15、决于函数信号波形存入的点数、 D/A 转换器的转换速度,通过改变 D/A 转换器输入的数字量的速率实现信号频率的高低。 2.2 系统设计方案 根据 上述设计要求,输出的几类波形需要调节其频率并直观的将其显示出来,若果使用纯硬件电路,那么硬件平台比较复杂,而且在实际电路中可能的一些干扰就会对整个系统的稳定性造成重大破坏,因此本系统的设计采用软硬件结合的方式进行设计,以下是系统的设计方案: 方案一:单片机方式 由单片机、 D/A 转换器及波形数据存储器等组成系统,单片机承担 DDS 信号波形的合成、所有的逻辑和时序控制等工作。此方案除了要求单片机完成基本的处理分析以外,还需要完成信号波数据的存储、

16、按键的处理、信号显示等控制与变换工作 2。其优点在于系统规模小, 有较大的灵活性,但单片机内部资源和处理速度均难满足要求,此方案极难实现。 方案二 :单片机与 FPGA 结合 用单片机完成人机界面、系统控制、处理变换等,而用 FPGA 完成信号输出 和 生成相应 的 逻辑控制时序,这种方案结合了单片机和 FPGA 的长处,兼顾了前两个方案的优点。同时大多数 FPGA 都带有内置的EAB 存储阵列, 可将波形数据存储在 FPGA 内嵌 ROM 中,这样节省了片外存储器。缺点是成本比较高,控制比较复杂。 方案 三 :基于 IP 核技术的 FPGA 由带有 IP 核的 FPGA 来 完成采集、存储、

17、- - 4 - - 显示及 D/A 转换等功能,由 IP核实现 人机交互及信号输出分析等功能。这种方案的优点在于系统高度集成、结构紧凑、操作方便 ,信号发生准确,精度高 3。 基于以上分析,我们选择方案三。 2.3 系统的组成、功能、架构 2.3.1 系统设计的组成 函数信号发生器主要有 FPGA 模块, DA 转换模块,按键输入模块块,数码管显示模块及电源模块等组成,通过模块间的组合实现正弦波、锯齿波、方波的输出。 2.3.2 系统设计的功能 用户能够通过拨码开关控制此函数发生器输出正弦波、锯齿波和方波三类波形,并可通过独立按键,调整输出信号的频率。 2.3.3 系统设计的框架 系统中 DA

18、 转换模块由低通滤波电路,差分放大电路及反向放大电路等组成,流程如图所示:系统的整体框图如图 2-1 所示, 图 2-1 系统整体框图 按键输入模块 JTAG 下载 电源模块 FPGA 数码显示模块 DA 转换模块 - - 5 - - 3 系统的硬件设计 通过以上章节的分析,采用模块化结构一一实现各项技术指标。其中给系统提供工作的电源模块使用芯片 TPS6735;信号处理模块使用的有 D/A 转换芯片AD9708、滤波芯片 LT6600-2.5、差分放大运算器 MAX4016;显示模块使用七段LED 数码管;按键输入模块使用施密特反相器; JTAG 下载模块使用芯片 EPCS4。下面 就这些芯

19、片的特性及用途进行简单介绍。 3.1 设计应用的基本模块介绍 3.1.1 EP2C5T144C8 的简介 本设计采用 CycloneII 系列 EP2C5T144C8 FPGA 含 4608 个逻辑宏单元、两个锁相环,约 20 万门、约 12 万 RAM bit,并且含全兼容 8051 核, 其 主频最高可达 250MHz,是普通 8051 单片机速度的 20 倍!因此可以完成语音级的 DSP 处理,还可进行 SOC 系统设计。其内部含 DDS 函数信号发生器 IP 核,可直接调用。 FPGA(现场可编程门阵列 )与 CPLD(复杂可编程逻辑器件 )都是可编程逻 辑器件, FPGA CPLD

20、的规模比较大,它可以替代几十甚至几千块通用 IC 芯片。这样的 FPGA CPLD 实际上就是一个子系统部件,这种芯片受到世界范围内电子工程设计人员的广泛关注和普遍欢迎。 4经过了十几年的发展,许多公司都开发出了多种可编程逻辑器件。比较典型的就是 Xilinx 公司的 FPGA 器件系列和 Altera公司的 CPLD 器件系列,全球 PLD/FPGA 产品 60%以上是由 Altera 和 Xilinx 提供的。所以 Altera 和 Xilinx 公司共同决定了 PLD 技术的发展方向。 ALTERA Cyclone EP2C5T144C8N 芯片,它具有 89 个可编引脚, 2 个 PL

21、L,8个时钟输入端, 8个时钟网络, 119808RAM Bits,263 个 9bit 乘法器, 4608 个逻辑单元, TQFP 封装, 1.2V, +3.3V 供电,价格便宜,目前广泛使用。 3.1.2 DA 转换模块简介 DA 芯片 AD9708 简介 AD9708 是采用单电源供电的低功耗电流输出型 8 位并行高速数模转换器,采用 +3V 或者 +5V 单电源供电,两路电流输出转换速率高达 125MHZ,建立时间不大于 35ns,转换精度为 1/4LSB。在 +5V 电源供电的情况下,其功耗为 175mW;在+3V 电源供电的情况下,其功耗为 45mW,并且还具有省电工作模式,在此模式下+5V 电源供电时,其功耗仅为 20mW。 AD9708 使用多级别差分流水线架构保证了

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。