如何在quartusII中调用modelsim-altera.doc

上传人:hw****26 文档编号:3099568 上传时间:2019-05-21 格式:DOC 页数:6 大小:223.50KB
下载 相关 举报
如何在quartusII中调用modelsim-altera.doc_第1页
第1页 / 共6页
如何在quartusII中调用modelsim-altera.doc_第2页
第2页 / 共6页
如何在quartusII中调用modelsim-altera.doc_第3页
第3页 / 共6页
如何在quartusII中调用modelsim-altera.doc_第4页
第4页 / 共6页
如何在quartusII中调用modelsim-altera.doc_第5页
第5页 / 共6页
点击查看更多>>
资源描述

1、1 Altera官网下载两款软件并安装 下载网址: https:/ ,选择Quartus II 网络版、 ModelSim-Altera入门版。目前这两款软件都是免费的,不需要许可证,安装简单,使用期限是30天。 【注意】务必记住安装的路径,特别是 ModelSim-Altera的安装路径。 2 指定 ModelSim-Altera 6.5e的安装路径 打开 Quartus II 11.0软件,新建工程和文件并保存。 1.然后在菜单栏选择 tools-options; 2.在 options选项卡中选中 EDA tool options; 3.在该选项卡中下面的 ModelSim-Altera

2、一项指定安装路径为E:/Altera/11.0/modelsim_ae/win32aloem(其中 E:/Altera/11.0/modelsim_ae/为我电脑中 ModelSim-Altera 6.5e的安装路径) 图1 指定 ModelSim-Altera 6.5e的安装路径【注意】 如果没有指定 ModelSim-Altera 6.5e的安装路径,调用 ModelSim-Altera的时候会出现如下的错误提示: 图2 没有指定安装路径的错误提示 3 指定 Quartus II 11.0仿真软件 在 Quartus II 11.0界面菜单栏中选择 Assignments-Settings

3、。 1.选中该界面下 EDA Tool settings中的 Simulation一项; 2.Tool name中选择 ModelSim-Altera; 3.Format for output netlist中选择开发语言的类型 Verilog或者 VHDL等, 4.Time scale 指定时间单位级别 5.Output directory指定测试文件模板的输出路径(该路径是工程文件的相对路径) 。图3 指定 Quartus II 11.0仿真软件 4 生成仿真测试文件 选择 Quartus II 11.0开发界面菜单栏下 Processing-Start-Start Test Bench

4、Template Writer,提示生成成功。 图4 生成仿真测试文件 分页 5 配置选择仿真文件 打开仿真测试文件(在上述3中指定的 Output directory 目录下找到后缀名为“.vt“的文件)并根据自己需要进行编辑。 1. 在 Quartus II 11.0界面菜单栏中选择 Assignments-Settings-EDA Tool settings-Simulation; 2.选择 Compile test bench右边的 Test benches; 图5 配置选择仿真文件(1)3.然后在出现的界面中选择 New,在新出现的界面中 Test bench name 输入测试文件

5、名字,在 Top level module in test bench 栏中输入测试文件中的顶层模块名; 4.选中 Use test bench to perform VHDL timing simulation并在 Design instance name in test bench中输入设计测试文件中设计例化名默认为 i1; 5.然后在 Test bench files栏下的 file name 选择测试文件(在第3步中指定的测试文件输出路径下的后缀名为“ .vt “ 文件的测试文件) ,然后点击 add,一步一步 OK。 图6 配置选择仿真文件(2) 【注意】Test bench name和 Top level module in test bench 以及 Design instance name in test bench分别为“.vt“文件的文件名、vt 文件中顶层实体模块名、Verilog或者 VHDL文件中的模块的例化名。 6 仿真文件配置完成后回到 Quartus II 11.0 开发界面 在 Quartus II 11.0界面菜单栏中选择菜单栏 Tools中的 Run EDA Simulation Tool-EDA RTL Simulation 进行行为级仿真,接下来就可以看到 ModelSim-Altera 6.5e的运行界面,观察仿真波形。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育教学资料库 > 精品笔记

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。