1、第 0 篇Xilinx FPGA 开发环境的配置一、配置 Modelsim ISE 的 Xilinx 的仿真库1、编译仿真库:A、先将 Modelsim 安装目录 C=Modeltech_6.2b 下面的 modelsim.ini 改成存档格式(取消只读模式);B、在 DOS 环境中,进入 Xilinx 的根目录,然后依次进入 bin,nt 目录;C、运行 compxlib -s mti_se -f all -l all -o C:Modeltech_6.2bxilinx_libs。注意:需要根据你安装的 modelsim 目录更改 C:Modeltech_6.2b然后就 Ok 了,就可以的
2、ISE 中启动 Modelsim 进行所有的仿真了。2、如何在 Xilinx ISE 中使用 Modelsim ISE,Synplify 进行综合和仿真:A、打开 Xilinx ISE,新建一个 Project;、在菜单 File 中选择“New Project”,弹出如下的对话框:、输入 Project 名称,并选择好 Project 保存的路径,然后下一步:字串 3按照上边的参数进行设置(针对于 Spatan 3E 的开发板),然后单击下一步,进入到后面的界面:、单击“New Source”按钮,并按照下面的设置来操作:、参照下面的参数,进行设置,然后一直选择默认选项,一直到完成。最后生成
3、的项目界面如下图所示:B、输入代码,然后用 Synplify 综合:、参考代码:entity Count iSPort(CLK :in STD_LOGIC;RESET :in STD_LOGIC;LOAD :in STD_LOGIC;DATA IN:in STD_LOGIC_VECTOR(3 downto 0); 字串 9Qout :out STD_LOGIC_VECTOR(3 downto 0);end Count;architecture Behavioral of Count issignal tmpCount:STD_LOGIC_VECTOR(3 downto 0);beginprocess(CLK,RESET,LOAD)beginif RESET=1 thentmpCountOpen Example 来打开。ISE 为我们提供了一个很有特色的工具,那就是语言辅助模板(Language Templates)。点击 Edit-Language Templates,可以调用语言辅助模板,其界面如图 4.31 所示。