EDA教案(图和例题).doc

上传人:hw****26 文档编号:3550989 上传时间:2019-06-04 格式:DOC 页数:17 大小:357KB
下载 相关 举报
EDA教案(图和例题).doc_第1页
第1页 / 共17页
EDA教案(图和例题).doc_第2页
第2页 / 共17页
EDA教案(图和例题).doc_第3页
第3页 / 共17页
EDA教案(图和例题).doc_第4页
第4页 / 共17页
EDA教案(图和例题).doc_第5页
第5页 / 共17页
点击查看更多>>
资源描述

1、固 定 功 能 元 件 电 路 板 设 计电 子 系 统电 子 系 统芯 片 设 计电 路 板 设 计可 编 程 元 件传 统 电 子 系 统设 计 方 法 基 于 芯 片 的设 计 方 法传 统 电 子 系 统 设 计 方 法 和 基 于 芯 片 的 设 计 方 法技 术 要 求系 统 目 标 定 义算 法 建 立 与 仿 真 验 证任 务 分 解 、 定 义 设 计 规 范系 统 级 仿 真硬 件 系统 设 计 VHDLA设 计数 字 电 路 设 计 模 拟 电 路 设 计综 合 与 优 化 优 化 设 计电 路 级 仿 真电 路 结 构 与 模 块 划 分PCB, M实 现 方 式 数

2、模 混 合电 路 优 化 PLD, FPGA方 式 综 合 优 化 PLD FGA器 件 库器 件模 型 库设 计 参 数 提 取 和 仿 真 验 证系 统 调 试 、 系 统 测 试电 路 级 验 证 、 布 局 布 线 器 测 试 仪器 仪 表ASIC方 式综 合 优 化硬 件仿 真 库行 为 功 能设 计 验 证算 法 软 件控 制 软 件设 计 系 统专 用 开 发 系统 微 控 制 器ASIC模 拟 库ESDA软 件 集 成 系 统 的 构 成 和 设 计 、 仿 真 过 程附 录程序源码及实验设计参考:1基本门电路实验library ieee;use ieee.std_logic_

3、1164.all;entity gate isport ( signal a : in std_logic;signal b: in std_logic;signal y: out std_logic_vector(3 downto 0);end gate;architecture gate of gate isbeginprocess(a,b)beginy(3) y(0) y(1) y(2) y(3) y(4) y(5) y(6) y(7)null;end case;end if;end process;end behavior;3BCD/七段显示译码器实验library ieee;use

4、ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity bcd isport(d: in std_logic_vector(3 downto 0); en: in std_logic;data_out:out std_logic_vector(7 downto 0);end bcd;architecture bcd of bcd isbeginprocess(d,en)beginif(en=1)then -signal enablecase d iswhen“0000“= data_out data_out data_out data_out data_out data_out data_out data_out data_out data_out data_out=“1001“ then qrarararararararacurrent_statedirectionlocate(0)=1; -locate 1locate(1)=0;locate(2)=0;locate(3)=0;locate(4)=0;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育教学资料库 > 精品笔记

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。