FAB工艺资料.doc

上传人:sk****8 文档编号:4070307 上传时间:2019-09-23 格式:DOC 页数:50 大小:360KB
下载 相关 举报
FAB工艺资料.doc_第1页
第1页 / 共50页
FAB工艺资料.doc_第2页
第2页 / 共50页
FAB工艺资料.doc_第3页
第3页 / 共50页
FAB工艺资料.doc_第4页
第4页 / 共50页
FAB工艺资料.doc_第5页
第5页 / 共50页
点击查看更多>>
资源描述

1、1名词介绍1. Active Area 主动区(工作区 ) 主动晶体管(Active Transistor)被制造的区域即所谓的主动区(Active Area)。在标准之 MOS 制造过程中 Active Area 是由一层氮化硅光罩接着氮化硅蚀刻之后的局部场区氧化所形成的,而由于利用到局部场氧化之步骤,所以 Active Area 会受到鸟嘴(Birds Beak)之影响而比原先之氮化硅光罩所定义的区域来的小,以长 0.6UM 之场区氧化而言,大概会有0.5UM 之 Birds Beak 存在,也就是说 Active Area 比原在之氮化硅光罩所定义的区域小 0.5UM。 2. Aceto

2、ne 丙酮 丙酮是有机溶剂的一种,分子式为 CH3COCH3。性质为无色,具刺激性及薄荷臭味之液体。在 FAB 内之用途,主要在于黄光室内正光阻之清洗、擦拭。对神经中枢具中度麻醉性,对皮肤黏膜具轻微毒性,长期接触会引起皮肤炎,吸入过量之丙酮蒸汽会刺激鼻、眼结膜及咽喉黏膜,甚至引起头痛、恶心、呕吐、目眩、意识不明等。允许浓度 1000PPM。 3. ADI 显影后检查 定义:After Developing Inspection 之缩写 目的:检查黄光室制程;光阻覆盖对准曝光显影。发现缺点后,如覆盖不良、显影不良等即予修改,以维护产品良率、品质。方法:利用目检、显微镜为之。 4. AEI 蚀刻后

3、检查 定义:AEI 即 After Etching Inspection,在蚀刻制程光阻去除前及光阻去除后,分别对产品实施全检或抽样检查。目的:提高产品良率,避免不良品外流;达到品质的一致性和制程之重复性;显示制程能力之指针;阻止异常扩大,节省成本。通常 AEI 检查出来之不良品,非必要时很少作修改,因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加,生产成本增高,以及良率降低之缺点。 5. Air Shower 空气洗尘室 进入洁净室之前,需穿无尘衣,因在外面更衣室之故,无尘衣上沾着尘埃,故进洁净室之前,需经空气喷洗机将尘埃吹掉。 6. Alignment 对准 定义:利

4、用芯片上的对准键,一般用十字键和光罩上的对准键合对为之。目的:在 IC 的制造过程中,必须经过 610 次左右的对准、曝光来定义电路图案,对准就是要将层层图案精确地定义显像在芯片上面。方法:A 人眼对准;B 用光、电组合代替人眼,即机械式对准。 7. Alloy/Sinter 熔合 Alloy 之目的在使铝与硅基(Silicon Substrate)之接触有 Ohmic 特性,即电压与电流成线性关系。Alloy 也可降低接触的阻值。 8. AL/SI 铝/硅 靶 此为金属溅镀时所使用的一种金属合金材料,利用 Ar 游离的离子,让其撞击此靶的表面,把 Al/Si 的原子撞击出来,而镀在芯片表面上

5、,一般使用之组成为 Al/Si (1%),将此当作组件与外界导线连接。 9. AL/SI/CU 铝/硅 /铜 金属溅镀时所使用的原料名称,通常称为 Target,其成分为 0.5铜,1硅及98.5铝,一般制程通常是使用 99铝 1硅,后来为了金属电荷迁移现象(Electro Migration )故渗加20.5铜,以降低金属电荷迁移。 10. Aluminum 铝 此为金属溅镀时所使用的一种金属材料,利用 Ar 游离的离子,让其撞击此种材料做成的靶表面,把 Al 的原子撞击出来,而镀在芯片表面上,将此当作组件与外界导线之连接。 11. Angle Lapping 角度研磨 Angle Lapp

6、ing 的目的是为了测量 Junction 的深度,所作的芯片前处理,这种采用光线干涉测量的方法就称之 Angle Lapping。公式为 Xj=/2 NF 即 Junction 深度等于入射光波长的一半与干涉条纹数之乘积。但渐渐的随着 VLSI 组件的缩小,准确度及精密度都无法因应。如SRP(Spreading Resistance Prqbing)也是应用 Angle Lapping 的方法作前处理,采用的方法是以表面植入浓度与阻值的对应关系求出 Junction 的深度,精确度远超过入射光干涉法。 12. Angstrom 埃 是一个长度单位,其大小为 1 公尺的百亿分之一,约为人的头发

7、宽度之五十万分之一。此单位常用于 IC 制程上,表示其层(如 SiO2,Poly,SiN .)厚度时用。 13. APCVD( Atmospressure) 常压化学气相沉积 APCVD 为 Atmosphere(大气),Pressure(压力) ,Chemical(化学),Vapor(气相 )及 Deposition(沉积)的缩写,也就是说,反应气体(如 SiH4(g),B2H6(g) ,和 O2(g))在常压下起化学反应而生成一层固态的生成物(如 BPSG)于芯片上。 14. As75 砷 自然界元素之一;由 33 个质子,42 个中子即 75 个电子所组成。半导体工业用的砷离子(As )

8、可由 AsH3 气体分解得到。砷是 N-type Dopant 常用作 N-场区、空乏区及 S/D 植入。 15. Ashing,Stripping 电浆光阻去除 电浆预处理,系利用电浆方式(Plasma),将芯片表面之光阻加以去除。 电浆光阻去除的原理,系利用氧气在电浆中所产生自由基(Radical)与光阻(高分子的有机物)发生作用,产生挥发性的气体,再由泵抽走,达到光阻去除的目的。电浆光阻的产生速率通常较酸液光阻去除为慢,但是若产品经过离子植入或电浆蚀刻后,表面之光阻或发生碳化或石墨化等化学作用,整个表面之光阻均已变质,若以硫酸吃光阻,无法将表面已变质之光阻加以去除,故均必须先以电浆光阻去

9、除之方式来做。 16. Assembly 晶粒封装 以树酯或陶瓷材料,将晶粒包在其中,以达到保护晶粒,隔绝环境污染的目的,而此一连串的加工过程,即称为晶粒封装(Assembly)。封装的材料不同,其封装的作法亦不同,本公司几乎都是以树酯材料作晶粒的封装,制程包括:芯片切割晶粒目检晶粒上架(导线架,即 Lead frame)焊线模压封装稳定烘烤(使树酯物性稳定)切框、弯脚成型脚沾锡盖印完成。以树酯为材料之 IC,通常用于消费性产品,如计算机、计算器,而以陶瓷作封装材料之 IC,属于高信赖度之组件,通常用于飞弹、火箭等较精密的产品上。 17. Back Grinding 晶背研磨 利用研磨机将芯片

10、背面磨薄以便测试包装,着重的是厚度均匀度及背面之干净度。一般 6 吋芯片之厚度约 20mil30 mil 左右,为了便于晶粒封装打线,故需将芯片厚度磨薄至 10 mil 15mil 左右。318. Bake, Soft Bake, Hard Bake 烘烤,软烤,预烤 烘烤 :在集成电路芯片上的制造过程中,将芯片至于稍高温(60250)的烘箱内或热板上均可谓之烘烤,随其目的的不同,可区分微软烤(Soft bake )与预烤( Hard bake)。软软烤(Soft bake):其使用时机是在上完光阻后,主要目的是为了将光阻中的溶剂蒸发去除,并且可增加光阻与芯片之附着力。预烤(Hard bake

11、 ):又称为蚀刻前烘烤(pre-etch bake),主要目的为去除水气,增加光阻附着性,尤其在湿蚀刻(wet etching)更为重要,预烤不全会造成过蚀刻。 19. BF2 二氟化硼 一种供做离子植入用之离子。BF2 是由 BF3 气体经灯丝加热分解成:B10、B11、F19、B10F2、B11F2 。经 Extract 拉出及质谱磁场分析后而得到。 是一种 P-type 离子,通常用作 VT 植入(闸层)及 S/D 植入。 20. Boat 晶舟 Boat 原意是单木舟,在半导体 IC 制造过程中,常需要用一种工具作芯片传送、清洗及加工,这种承载芯片的工具,我们称之为 Boat。一般 B

12、oat 有两种材质,一是石英、另一是铁氟龙。石英 Boat 用在温度较高(大于 300)的场合。而铁氟龙 Boat 则用在传送或酸处理的场合。 21. B.O.E 缓冲蚀刻液 BOE 是 HF 与 NH4F 依不同比例混合而成。6:1 BOE 蚀刻即表示HF:NH4F=1:6 的成分混合而成。HF 为主要的蚀刻液,NH4F 则作为缓冲剂使用。利用 NH4F 固定H的浓度,使之保持一定的蚀刻率。 HF 会浸蚀玻璃及任何含硅石的物质,对皮肤有强烈的腐蚀性,不小心被溅到,应用大量水冲洗。 22. Bonding PAD 焊垫 焊垫晶利用以连接金线或铝线的金属层。在晶粒封装(Assembly)的制程中

13、,有一个步骤是作“焊线”,即是用金线(塑料包装体)或铝线(陶瓷包装体)将晶粒的线路与包装体之各个接脚依焊线图(Bonding Diagram)连接在一起,如此一来,晶粒的功能才能有效地应用。由于晶粒上的金属线路的宽度即间隙都非常窄小,(目前 SIMC 所致的产品约是微米左右的线宽或间隙),而用来连接用的金线或铝线其线径目前由于受到材料的延展性即对金属接线强度要求的限制,祇能做到 1.01.3mil(25.433j 微米)左右,在此情况下,要把二、三十微米的金属线直接连接到金属线路间距只有 3 微米的晶粒上,一定会造成多条铝线的接桥,故晶粒上的铝路,在其末端皆设计成一个约 4mil 见方的金属层

14、,此即为焊垫,以作为接线使用。焊垫通常分布再晶粒之四个外围上(以粒封装时的焊线作业),其形状多为正方形,亦有人将第一焊线点作成圆形,以资辨识。焊垫因为要作接线,其上得护层必须蚀刻掉,故可在焊垫上清楚地看到“开窗线” 。而晶粒上有时亦可看到大块的金属层,位于晶粒内部而非四周,其上也看不到开窗线,是为电容。 23. Boron 硼 自然元素之一。由五个质子及六个中子所组成。所以原子量是 11。另外有同位素,是由五个质子及五个中子所组成原子量是 10(B10)。自然界中这两种同位素之比例是 4:1,可由磁场质谱分析中看出,是一种 P-type 的离子(B 11),用来作场区、井区、VT 及 S/D

15、植入。 24. BPSG 含硼及磷的硅化物 BPSG 乃介于 Poly 之上、Metal 之下,可做为上下两层绝缘之用,加硼、4磷主要目的在使回流后的 Step 较平缓,以防止 Metal line 溅镀上去后,造成断线。 25. Breakdown Voltage 崩溃电压 反向 P-N 接面组件所加之电压为 P 接负而 N 接正,如为此种接法则当所加电压通在某个特定值以下时反向电流很小,而当所加电压值大于此特定值后,反向电流会急遽增加,此特定值也就是吾人所谓的崩溃电压(Breakdown Voltage)一般吾人所定义反向 P - N接面之反向电流为 1UA 时之电压为崩溃电压,在 P -

16、 N 或 N-P 之接回组件中崩溃电压,随着N(或者 P)之浓度之增加而减小。 26. Burn In 预烧试验 预烧(Burn in )为可靠性测试的一种,旨在检验出哪些在使用初期即损坏的产品,而在出货前予以剔除。预烧试验的作法,乃是将组件(产品)至于高温的环境下,加上指定的正向或反向的直流电压,如此残留在晶粒上氧化层与金属层之外来杂质离子或腐蚀性离子将容易游离而使故障模式(Failure Mode )提早显现出来,达到筛选、剔除早期夭折产品之目的。预烧试验分为静态预烧(Static Burn in )与动态预烧(Dynamic Burn in )两种,前者在试验时,只在组件上加上额定的工作

17、电压即消耗额定的功率,而后者除此外并有仿真实际工作情况的讯号输入,故较接近实际状况,也较严格。基本上,每一批产品在出货前,皆须作百分之百的预烧试验,馾由于成本及交货其等因素,有些产品旧祇作抽样(部分)的预烧试验,通过后才出货。另外对于一些我们认为它品质够稳定且够水准的产品,亦可以抽样的方式进行,当然,具有高信赖度的产品,皆须通过百分之百的预烧试验。 27. CAD 计算机辅助设计 CAD:Computer Aided Design 计算机辅助设计,此名词所包含的范围很广,可泛称一切计算机为工具,所进行之设计;因此不仅在 IC 设计上用得到,建筑上之设计,飞机、船体之设计,都可能用到。在以往计算

18、机尚未广泛应用时,设计者必须以有限之记忆、经验来进行设计,可是有了所谓 CAD 后,我们把一些常用之规则、经验存入计算机后,后面的设计者,变可节省不少从头摸索的工作,如此不仅大幅地提高了设计的准确度,使设计的领域进入另一新天地。 28. CD Measurement 微距测试 CD: Critical Dimension 之简称。通常于某一个层次中,为了控制其最小线距,我们会制作一些代表性之量测图形于晶方中,通常置于晶方之边缘。简言之,微距测量长当作一个重要之制程指针,可代表黄光制程之控制好坏。量测 CD 之层次通常是对线距控制较重要之层次,如氮化硅、POLY、CONT、MET等,而目前较常用

19、于测量之图形有品字型, L-BAR 等。 29. CH3COOH 醋酸 Acetic Acid 醋酸澄清、无色液体、有刺激性气味、熔点 16.63、沸点 118。与水、酒精、乙醚互溶。可燃。冰醋酸是 99.8以上之纯化物,有别于水容易的醋酸食入或吸入纯醋酸有中等的毒性,对皮肤及组织有刺激性,危害性不大,被溅到用水冲洗。 30. Chamber 真空室,反应室 专指一密闭的空间,常有特殊的用途:诸如抽真空、气体反应或金属溅度等。针对此特殊空间之种种外在或内在环境:例如外在粒子数(particle)、湿度及内在温度、压力、气体流量、粒子数等加以控制。达到芯片最佳反应条件。 531. Channel

20、 信道 当在 MOS 晶体管的闸极上加上电压(PMOS 为负,NMOS 为正),则闸极下的电子或电洞会被其电场所吸引或排斥而使闸极下之区域形成一反转层(Inversion Layer),也就是其下之半导体 P-type 变成 N-type Si,N-type 变成 P-type Si,而与源极和汲极,我们旧称此反转层为“信道” 。信道的长度“Channel Length”对 MOS 组件的参数有着极重要的影响,故我们对 POLY CD 的控制需要非常谨慎。 32. CHIP ,DIE 晶粒 一片芯片( OR 晶圆,即 Wafer)上有许多相同的方形小单位,这些小单位及称为晶粒。同一芯片上每个晶

21、粒都是相同的构造,具有相同的功能,每个晶粒经包装后,可制成一颗颗我们日常生活中常见的 IC,故每一芯片所能制造出的 IC 数量是很可观的,从几百个到几千个不等。同样地,如果因制造的疏忽而产生的缺点,往往就会波及成百成千个产品。 33. CLT(Carrier Life Time) 截子生命周期 一、 定义少数戴子再温度平均时电子被束缚在原子格内,当外加能量时,电子获得能量,脱离原子格束缚,形成自由状态而参与电流岛通的工作,但能量消失后,这些电子/电洞将因在结合因素回复至平衡状态,因子当这些载子由被激发后回复平衡期间,称之为少数载子“Life Time“二、 应用范围 评估卢管和清洗槽的干净度

22、针对芯片之清洁度及损伤程度对 CLT 值有影响为 A.芯片中离子污染浓度及污染之金属种类 B.芯片中结晶缺陷浓度 34. CMOS 互补式金氧半导体 金属氧化膜半导体(MOS,Metal-Oxide Semiconductor)其制程程序即先在单晶硅上形成绝缘氧化膜,再沉积一层复晶硅(或金属)作为闸极,利用加到闸极的电场来控制 MOS 组件的开关(导电或不导电)。按照导电载子的种类,MOS,又可分成两种类型:NMOS(由电子导电)和 PMOS(由电洞导电)。而互补式金氧半导体(CMOS Complementary MOS)则是由 NMOS 及 PMOS 组合而成,具有省电、抗噪声能力强、 -P

23、article 免疫力好等许多优点,是超大规模集成电路(VLSI )的主流。 35. Coating 光阻覆盖 将光阻剂以浸泡、喷雾、刷布、或滚压等方法加于芯片上,称为光阻覆盖。目前效果最佳的方法为旋转法;旋转法乃是将芯片以真空吸附于一个可旋转的芯片支持器上,适量的光阻剂加在芯片中央,然后芯片开始转动,芯片上的光阻剂向外流开,很均匀的散在芯片上。要得到均匀的光阻膜,旋转速度必须适中稳定。而旋转速度和光阻剂黏滞性绝应所镀光阻剂的厚度。光阻剂加上后,必须经过软烤的步骤,以除去光阻剂中过多的溶剂,进而使光阻膜较为坚硬,同时增加光阻膜与芯片的接合能力的主要方法就是在于适当调整软烤温度与时间。经过了以上

24、的镀光阻膜即软烤过程,也就是完成了整个光阻覆盖的步骤。 36. CROSS SECTION 横截面 IC 的制造基本上是由一层一层的图案堆积上去,而为了了解堆积图案的构造,以改善制程或解决制程问题,经常会利用破坏性切割方式以电子显微镜(SEM)来观察,而切割横截面、观察横截面的方式是其中较为普遍之一种。 37. C-V PLOT 电容,电压圆 译意为电容、电压图:也就是说当组件在不同状况下,在闸极上施以某6一电压时,会产生不同之电容值(此电压可为正或负),如此组件为理想的组件;也就是闸极和汲极间几乎没有杂质在里面(Contamination)。当外界环境改变时(温度或压力),并不太会影响它的电

25、容值,利用此可 Monitor MOS 组件之好坏,一般V0.2 为正常。 38. CWQC 全公司品质管制 以往有些经营者或老板,一直都认为品质管制是品管部门或品管主管的责任,遇到品质管制做不好时,即立即指责品质主管,这是不对的。品质管制不是品质部门或某一单位就可以做好的,而是全公司每一部门全体人员都参与才能做好。固品质管制为达到经营的目的,必须结合公司内所有部门全体人员协力合作,构成一个能共同认识,亦于实施的体系,并使工作标准化,且使所定的各种事项确实实行,使自市场调查、研究、开发、设计、采购、制造、检查、试验、出货、销售、服务为止的每一阶段的品质都能有效的管理,这就是所谓的全公司品质管制

26、(Company Wide Quality Control )。实施 CWQC 的目的最主要的就是要改善企业体质;即发觉问题的体质、重视计划的体质、重点指向的体质、重视过程的体质,以及全员有体系导向的体质。 39. Cycle Time 生产周期时间 指原料由投入生产线到产品于生产线产生所需之生产 /制造时间。在Ti-Acer,生产周期有两种解释:一为“ 芯片产出周期时间” (Wafer-Out Cycle Time),一为“制程周期时间”(Process Cycle Time)“芯片产出周期时间 ”乃指单一批号之芯片由投入到产出所需之生产/制造时间。“制程周期时间”则指所有芯片于单一工站平均

27、生产/ 制造时间,而各工站(从头至尾)平均生产/制造之加总即为该制程之制程周期时间。目前 Ti-Acer Line Report 之生产周期时间乃采用“制程周期时间”。一般而言,生产周期时间可以下列公式概略推算之:生产周期时间=在制品(WIP)/产能(Throughout)40. Cycle Time 生产周期 IC 制造流程复杂,且其程序很长,自芯片投入至晶圆测试完成,谓之Cycle Time。由于 IC 生命周期很短,自开发、生产至销售,需要迅速且能掌握时效,故 Cycle Time 越短,竞争能力就越高,能掌握产品上市契机,就能获取最大的利润。由于 Cycle Time 长,不容许生产中

28、的芯片因故报废或重做,故各项操作过程都要依照规范进行,且要做好故障排除让产品流程顺利,早日出 FAB 上市销售。 41. Defect Density 缺点密度 缺点密度系指芯片单位面积上(如每平方公分、每平方英吋等)有多少缺点数之意,此缺点数一般可分为两大类:A.可视性缺点 B.不可视性缺点。前者可藉由一般光学显微镜检查出来(如桥接、断线),由于芯片制造过程甚为复杂漫长,芯片上缺点数越少,产品量率品质必然越佳,故缺点密度常备用来当作一个工厂制造的产品品质好坏的指针。 42. Dehydration Bake 去水烘烤 目的:去除芯片表面水分,增加光阻附着力。以免芯片表面曝光显影后光阻掀起。方

29、法:在光阻覆盖之前,利用高温(120或 150)加热方式为之。 43. Densify 密化 CVD 沉积后,由于所沈积之薄膜(Thin Film 之密度很低),故以高温步骤使薄膜中之分子重新结合,以提高其密度,此种高温步骤即称为密化。密化通常以炉管在 800以上的温度7完成,但也可在快速升降温机台(RTP: Rapid Thermal Process)完成。 44. Descum 电浆预处理 电浆预处理,系利用电浆方式(Plasma),将芯片表面之光阻加以去除,但其去光阻的时间,较一般电浆光阻去除(Stripping)为短。其目的只是在于将芯片表面之光阻因显影预烤等制程所造成之光阻毛边或细屑

30、(Scum )加以去除,以使图形不失真,蚀刻出来之图案不会有残余。有关电浆去除光阻之原理,请参阅电浆光阻去除(Ashing)。通常作电浆预处理,均以较低之力,及小之功率为之,也就是使光阻之蚀刻率降低得很低,使得均匀度能提高,以保持完整的图形,达到电浆预处理的目的。 45. Design Rule 设计规范 由于半导体制程技术,系一们专业、精致又复杂的技术,容易受到不同制造设备制程方法(Recipe)的影响,故在考虑各项产品如何从事制造技术完善,成功地制造出来时,需有一套规范来做有关技术上之规定,此即“Design Rule”,其系依照各种不同产品的需求、规格,制造设备及制程方法、制程能力、各项

31、相关电性参数规格等之考虑,订正了如:各制程层次、线路之间距离、线宽等之规格。各制程层次厚度、深度等之规格。各项电性参数等之规格。以供产品设计者及制程技术工程师等人之遵循、参考。 46. Design Rule 设计准则 设计准则 Design Rule:反应制程能力及制程组件参数,以供 IC 设计者设计 IC 时的参考准则。一份完整的 Design Rule 包括有下列各部分:A.制程参数:如氧化层厚度、复晶、金属层厚度等,其它如流程、ADI、AEI 参数。主要为扩散与黄光两方面的参数。 B.电气参数:提供给设计者做仿真电路时之参考。C.布局参数:及一般所谓的 3m、2m、1.5m 等等之 R

32、ules,提供布局原布局之依据。D.光罩制作资料:提供给光罩公司做光罩时之计算机资料,如 CD BAR、测试键之摆放位置,各层次之相对位置之摆放等。 47. Die By Die Alignment 每 Field 均对准 每个 Field 再曝光前均针对此单一 Field 对准之方法称之;也就是说每个 Field 均要对准。 48. Diffusion 扩散 在一杯很纯的水上点一滴墨水,不久后可发现水表面颜色渐渐淡去,而水面下渐渐染红,但颜色是越来越淡,这即是扩散的一例。在半导体工业上常在很纯的硅芯片上以预置或离子布植的方式作扩散源(即红墨水)。因固态扩散比液体扩散慢很多(约数亿年),故以进

33、炉管加高温的方式,使扩散在数小时内完成。 49. DI Water 去离子水 IC 制造过程中,常需要用盐酸容易来蚀刻、清洗芯片。这些步骤之后又需利用水把芯片表面残留的盐酸清除,故水的用量相当大。然而 IC 工业用水,并不是一般的自来水或地下水,而是自来水或地下水经过一系列的纯化而成。原来自来水或地下水中含有大量的细菌、金属离子级 Particle,经厂务的设备将之杀菌、过滤和纯化后,即可把金属离子等杂质去除,所得的水即称为去离子水,专供 IC 制造之用。 50. Doping 参入杂质 为使组件运作,芯片必须参以杂质,一般常用的有:预置:在炉管内通以饱8和的杂质蒸气,使芯片表面有一高浓度的杂

34、质层,然后以高温使杂质驱入扩散;或利用沉积时同时进行预置。离子植入:先使杂质游离,然后加速植入芯片。 51. DRAM , SRAM 动态,静态随机存取内存 随机存取记忆器可分动态及静态两种,主要之差异在于动态随机存取内存(DRAM),在一段时间(一般是 0.5ms5ms)后,资料会消失,故必须在资料未消失前读取原资料再重写(refresh),此为其最大缺点,此外速度较慢也是其缺点,而 DRAM 之最大好处为,其每一记忆单元(bit)指需一个 Transistor(晶体管)加一个 Capacitor(电容器),故最省面积,而有最高之密度。而 SRAM 则有不需重写、速度快之优点,但是密度低,每

35、一记忆单元(bit)有两类:A. 需要六个 Transistor(晶体管),B.四个 Transistor(晶体管)加两个 Load resistor(负载电阻)。由于上述之优缺点,DRAM 一般皆用在 PC(个人计算机)或其它不需高速且记忆容量大之记忆器,而 SRAM 则用于高速之中大型计算机或其它只需小记忆容量。如监视器(Monitor)、打印机(Printer)等外围控制或工业控制上。 52. Drive In 驱入 离子植入(ion implantation)虽然能较精确地选择杂质数量,但受限于离子能量,无法将杂质打入芯片较深(um 级)的区域,因此需借着原子有从高浓度往低浓度扩散的性

36、质,在相当高的温度去进行,一方面将杂质扩散道教深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的缺陷消除。此方法称之驱入。在驱入时,常通入一些氧气,因为硅氧化时,会产生一些缺陷,如空洞(Vacancy),这些缺陷会有助于杂质原子的扩散速度。另外,由于驱入世界原子的扩散,因此其方向性是各方均等,甚至有可能从芯片逸出(out-diffusion),这是需要注意的地方。 53. E-Beam Lithography 电子束微影技术 目前芯片制作中所使用之对准机,其曝光光源波长约为(365nm436nm),其可制作线宽约 1 之 IC 图形。但当需制作更细之图形时,则目前之对

37、准机,受曝光光源波长之限制,而无法达成,因此在次微米之微影技术中,及有用以电子数为曝光光源者,由于电子束波长甚短(0.1A ),故可得甚佳之分辨率,作出更细之 IC 图型,此种技术即称之电子束微影技术。电子束微影技术,目前已应用于光罩制作上,至于应用于光芯片制作中,则仍在发展中。 54. EFR(Early Failure Rate) 早期故障率 Early Failure Rate 是产品可靠度指针,意谓 IC 到客户手中使用其可能发生故障的机率。当 DRAM 生产测试流程中经过 Burn-In 高温高压测试后,体质不佳的产品便被淘汰。为了确定好的产品其考靠度达到要求,所以从母批中取样本做可

38、靠度测试,试验中对产品加高压高温,催使不耐久的产品故障,因而得知产品的可靠度。故障机率与产品生命周期之关系类似浴缸,称为 Bathtub Curve. 55. Electro migration 电子迁移 所谓电子迁移,乃指在电流作用下金属的质量会搬动,此系电子的动量传给带正电之金属离子所造成的。当组件尺寸越缩小时,相对地电流密度则越来越大;当此大电流经过集成电路中之薄金属层时,某些地方之金属离子会堆积起来,而某些地方则有金属空缺情形,9如此一来,堆积金属会使邻近之导体短路,而金属空缺则会引起断路。材料搬动主要原动力为晶界扩散。有些方法可增加铝膜导体对电迁移之抗力,例如:与铜形成合金,沉积时加

39、氧等方式。 56. Electron/Hole 电子/ 电洞 电子是构成原子的带电粒子,带有一单位的负电荷,环绕在原子核四周形成原子。垫洞是晶体中在原子核间的共享电子,因受热干扰或杂质原子取代,电子离开原有的位置所遗留下来的“空缺”因缺少一个电子,无法维持电中性,可视为带有一单位的正电荷。 57. Ellipsometer 椭圆测厚仪 将已知波长之射入光分成线性偏极或圆偏极,照射在待射芯片,利用所得之不同椭圆偏极光之强度讯号,以 Fourier 分析及 Fresnel 方程式,求得待测芯片模厚度 58. EM(Electro Migration Test ) 电子迁移可靠度测试 当电流经过金属

40、导线,使金属原子获得能量,沿区块边界(GRAIN Bounderies)扩散(Diffusion),使金属线产生空洞(Void),甚至断裂,形成失效。其对可靠度评估可用电流密度线性模型求出:AF=【J(stress)/J(op)】nexp【Ea/Kb (1/T(op)- 1/T(stress )】TF=AFT(stress) 59. End Point Detector 终点侦测器 在电浆蚀刻中,利用其反应特性,特别设计用以侦测反应何时完成的一种装置。一般终点侦测可分为下列三种:A.雷射终点侦测器( Laser Endpoint Detector): 利用雷射光入射反应物(即芯片)表 面,当时

41、颗发生时,反应层之厚度会逐渐减少,因而反射光会有干扰讯号产生,当蚀刻完成时,所接收之讯号亦已停止变化,即可测得终点。B.激发光终点侦测器(Optical Emission End Point Detector) 用一光谱接受器,接受蚀刻反应中某一反应副产物(Byproduct)所激发之光谱,当蚀刻反应逐渐完成,此副产物减少,光谱也渐渐变弱,即可侦测得其终点。C.时间侦测器:直接设定反应时间,当时间终了,即结束其反应。 60. Energy 能量 能量是物理学之专有名词。例如:B 比 A 之电压正 100 伏,若在 A 板上有一电子受B 版正电吸引而加速跑到 B 版,这时电子在 B 版就比在 A

42、 版多了 100 电子伏特的能量。 61. EPI Wafer 磊晶芯片 磊晶系在晶体表面成长一层晶体。 62. EPROM(Erasable-Programmable ROM) 电子可程序只读存储器 MASK ROM 内所存的资料,是在 FAB 内制造过程中便已设定好,制造完后便无法改变,就像任天堂游戏卡内的 MASK ROM,存的是金牌玛丽就无法变成双截龙。而 EPROM 是在 ROM 内加一个特殊结构叫 A FAMDS,它可使ROM 内的资料保存,但当紫外光照到它时,它会使 ROM 内的资料消失。每一个晶忆单位都归口。然后工程人员再依程序的规范,用 30 瓦左右的电压将 0101.资料灌

43、入每一个记忆单位。如此就可灌电压、紫外光重复使用,存入不同的资料。也就是说如果任天堂卡内使用的是 EPROM,那么你打腻了金牌玛丽,然后灌双截龙的程序进去,卡匣就变成双截龙卡,不用去交换店交换了。 63. ESD Electrostatic Damage Electrostatic Discharge 静电破坏静电放电 自然界之物质均由原子组成,而原子又由质子、中子及电子组成。在正常状态下,物质成中性,而在日常活动中,会使物质失去电子,或得到电子,此即产生静电,得到电子之物质为带负静电,失去电子即带正静电。静电大10小会随着日常的工作环境而有所不同。如下表所示。活动情形 静 电 强 度 (Vo

44、lt ) 1020相对湿度 65-95相对湿度 走过地毯走过塑料地板在以子上工作拿起塑料活页夹,袋拿起塑料带工作椅垫摩擦35,00012,0006,0007,00020,00018,000 1,5002501006001,00015,000 表 1 日常工作所产生的静电强度表 当物质产生静电后,随时会放电,弱放到子组件上,例如IC,则会将组件破坏而使不能正常工作,此即为静电破坏或静电放电。防止静电破坏方法有二:A.在组件设计上加上静电保护电路。B.在工作环境上减少静电,例如工作桌之接地线,测试员之静电环。载运送上使用防静电胶套及海绵等等。 64. ETCH 蚀刻 在集成电路的制程中,常需要将整

45、个电路图案定义出来,其制造程序通常是先长出或盖上一层所需要之薄膜,在利用微影技术在这层薄膜上,以光阻定义出所欲制造之电路图案,再利用化学或物理方式将不需要之部分去除,此种去除步骤便称为蚀刻(Etch)一般蚀刻可分为湿性蚀刻(Wet Etch)及干性蚀刻( Dry Etch)两种。所谓干性蚀刻乃是利用化学品(通常是盐酸)与所欲蚀刻之薄膜起化学反应,产生气体或可溶性生成物,达到图案定义之目的。而所谓干蚀刻,则是利用干蚀刻机台产生电浆,将所欲蚀刻之薄膜反映产生气体由 Pump 抽走,达到图案定义之目的。 65. Exposure 曝光 其意义略同于照相机底片之感光在集成电路之制造过程中,定义出精细之

46、光组图形为其中重要的步骤,以运用最广之 5X Stepper 为例,其方式为以对紫外线敏感之光阻膜作为类似照相机底片,光罩上则有我们所设计之各种图形,以特殊波长之光线(G-Line 436nm)照射光罩后,经过缩小镜片(Reduction Lens)光罩上之图形则成 5 倍缩小,精确地定义在底片上(芯片上之光阻膜)经过显影后,即可将照到光(正光阻)之光阻显掉,而得到我们想要之各种精细图形,以作为蚀刻或离子植入用。因光阻对于某特定波长之光线特别敏感,故在黄光室中早将一切照明用光元过滤成黄色,以避免泛白光源中含有对光阻有感光能力之波长成分在,这一点各相关人员应特别注意,否则会发生光线污染现象,而扰

47、乱精细之光阻图。 66. Fabrication(FAB) 制造 Fabrication 为“ 装配”或“ 制造” 之意,与 Manufacture 意思一样,半导体制造程序,其步骤繁多,且制程复杂,需要有非常精密的设备和细心的作业,才能达到吴缺点的品质。FAB 系 Fabrication 之缩写,指的是“ 工厂”之意。我们常称 FIB 为“ 晶圆区”,例如:进去“FAB”之前需穿上防尘衣。 67. FBFC(Full Bit Function Chip) 全功能芯片 由于产品上会有缺陷,所以有些芯片无法全功能工作。因此须要雷射修补前测试,以便找到缺陷位置及多寡,接着就能利用雷射修补,将有缺陷的芯片修补成全功能的芯片。当缺陷超过一定限度时,无法修补成全功能芯片 68. Field/Moat 场区 Field 直译的意思是场,足球场和武道场等的场都叫做 Field。它的含意就是一个有专门用途的区域。在 IC 内部结构中,有一区域是隔离电场的地方,通常介于两个 MOS 晶体

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 重点行业资料库 > 自然科学

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。