3-8译码器的VHDL设计.doc

上传人:hw****26 文档编号:4131137 上传时间:2019-09-28 格式:DOC 页数:4 大小:77KB
下载 相关 举报
3-8译码器的VHDL设计.doc_第1页
第1页 / 共4页
3-8译码器的VHDL设计.doc_第2页
第2页 / 共4页
3-8译码器的VHDL设计.doc_第3页
第3页 / 共4页
3-8译码器的VHDL设计.doc_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

1、3-8译码器的 VHDL设计 1.实体框图 2.程序设计 正确的程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DECODER38A IS PORT(A2,A1,A0,S1,S2,S3:IN STD_LOGIC; Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END ENTITY DECODER38A; ARCHITECTURE ONE OF DECODER38A IS SIGNAL S: STD_LOGIC_VECTOR(5 DOWNTO 0); BEGIN S=A2 WITH S SELECT Y=“111

2、11110“ WHEN “000100“, “11111101“ WHEN “001100“, “11111011“ WHEN “010100“, “11110111“ WHEN “011100“, “11101111“ WHEN “100100“, “11011111“ WHEN “101100“, “10111111“ WHEN “110100“, “01111111“ WHEN “111100“, “11111111“ WHEN OTHERS; END ARCHITECTURE ONE; 3.仿真波形图 4.仿真波形分析 当 S1 S2 S3=100时,只有当 A2 A1 A0=111时

3、,Y7才输出低电平,否则 为高电平,当 A2 A1 A0=110时,Y6才输出低电平,否则为高电平,当 A2 A1 A0=101时,Y5才输出低电平,否则为高电平,Y4到 Y0同理。可见该程序 设计的是 3-8译码器 三、共阳极数码管七段显示译码器的 VHDL设计 1.实体框图 2.程序设计 正确的程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DISPLAY_DECODER IS PORT(A3,A2,A1,A0:IN STD_LOGIC; Y:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); END ENTITY DISPLAY_DECODER; ARCHITECTURE ONE OF DISPLAY_DECODER IS SIGNAL S: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN S=A3 WITH S SELECT Y1001,即大于 9,数码管无显示。 由此可知,程序设计的是七段显示译码管。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 策划方案

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。