毕业设计(论文):基于FPGA的便携式数字存储示波器设计.doc

上传人:文****钱 文档编号:41810 上传时间:2018-05-09 格式:DOC 页数:57 大小:1.18MB
下载 相关 举报
毕业设计(论文):基于FPGA的便携式数字存储示波器设计.doc_第1页
第1页 / 共57页
毕业设计(论文):基于FPGA的便携式数字存储示波器设计.doc_第2页
第2页 / 共57页
毕业设计(论文):基于FPGA的便携式数字存储示波器设计.doc_第3页
第3页 / 共57页
毕业设计(论文):基于FPGA的便携式数字存储示波器设计.doc_第4页
第4页 / 共57页
毕业设计(论文):基于FPGA的便携式数字存储示波器设计.doc_第5页
第5页 / 共57页
点击查看更多>>
资源描述

1、1基于FPGA的便携式数字存储示波器设计摘要数字存储示波器是一种新型的示波器,它发展于20世纪70年代。在电子测量领域,数字存储示波器正在逐渐取代模拟示波器。这种类型的示波器可以方便地实现对模拟信号波形进行长期储存,并能够利用内部微处理器系统对存储的信号进一步处理。与传统模拟示波器相比数字存储示波器不仅具有可存储波形、体积小、功耗低,使用方便等优点,而且还具有强大的信号实时处理分析功能。高速数字化采集技术和FPGA技术的发展已经对传统测试仪器产生了深刻的影响。数字存储示波器DS0是模拟示波器技术、数字化测量技术、计算机技术的综合产物。但目前我国使用高性能数字存储示波器主要依靠国外产品,而且价格

2、昂贵。因此研究数字存储示波器具有重要价值。借于此,提出了一种简易数字存储示波器的设计方案。系统采用单通道的方式。信号进来首先经过前端的调节电路把信号电压调整到AD的输入电压范围之内,这里调节电路主要是由信号衰减电路和信号放大电路所组成。调节后的信号再送到AD变换电路里面完成信号的数字化。然后把AD转换后的数据送到FPGA中,并把数据保存到FPGA中的FIFO中,FPGA中的电路主要包括有FIFO、触发系统、峰值检测、时基电路等。关键词FPGA;AD转换;数字存储示波器2THEPROTABLEDIGITALSTORAGEOSCILLOSCOPEDESIGNBASEDONFPGAABSTRACTD

3、IGITALSTORAGEOSCILLOSCOPEISANEWTYPEOFOSCILLOSCOPE,ITSDEVELOPMENTINTHE1970S,INTHEFIELDOFELECTRONICMEASUREMENT,DIGITALSTORAGEOSCILLOSCOPEISGRADUALLYREPLACETHEANALOGOSCILLOSCOPETHISTYPEOFOSCILLOSCOPECANCONVENIENTLYREALIZETHEANALOGSIGNALWAVESHAPEISSTOREDFORALONGTIME,ANDUSETHEINTERNALMICROPROCESSORSYSTEM

4、FORSTORAGEOFSIGNALFURTHERPROCESSINGCOMPAREDWITHTHEANALOGOSCILLOSCOPE,DIGITALSTORAGEOSCILLOSCOPEHASNOTONLYCANBESTOREDWAVEFORM,SMALLVOLUME,LOWPOWERCONSUMPTION,CONVENIENTUSE,BUTALSOHASSTRONGSIGNALREALTIMEPROCESSINGANALYSISCAPABILITIESTHEDEVELOPMENTOFFPGATECHNOLOGYHASHADAPROFOUNDEFFECTTOTHETRADITIONALTE

5、STINSTRUMENTDIGITALSTORAGEOSCILLOSCOPEDS0ISTHEANALOGOSCILLOSCOPE,DIGITALMEASURINGTECHNOLOGY,THECOMBINATIONOFCOMPUTERTECHNOLOGYBUTATPRESENTOURCOUNTRYTHEUSEOFHIGHPERFORMANCEDIGITALSTORAGEOSCILLOSCOPEMAINLYRELYONFOREIGNPRODUCTS,ANDTHEPRICEISEXPENSIVESOTHEDIGITALSTORAGEOSCILLOSCOPEHASIMPORTANTVALUEBORRO

6、W,PUTSFORWARDADESIGNSCHEMEOFSIMPLEDIGITALSTORAGEOSCILLOGRAPHSOTHEDIGITALSTORAGEOSCILLOSCOPEHASIMPORTANTVALUEBORROW,PUTSFORWARDADESIGNSCHEMEOFSIMPLEDIGITALSTORAGEOSCILLOGRAPHTHEWHOLESYSTEMISSINGLECHANNELTHESIGNATHATCOMEINFROMTHEFIRSTFRONTENDHAVEBEENCHANGEDAFITVOLTAGEWHICHPUTINTOAVOLTAGESIGNALADFRONTE

7、NDCIRCMTSHEREMAINLYARECOMPOSEDOFBYSIGNALATTENUATIONANDSIGNALAMPLIFIERCIRCUITAFTERTHEFRONTEND,THESIGNALSHAVECHANGESTHEDIGITALSIGNALTHEBYADCIRCUITTHISDATAHASBEENSENTTOFPGA,THEDATAISSAVEDTOTHEFIFOINTHEFPGATHEMAINCIRCUITINTHEFPGA,INCLUDING3FIFO,THETRIGGERSYSTEM,THEPEAKDETECTIONCIRCUIT,TIMEASEDCIRCUIT,AN

8、DSOTHEWHOLESYSTEMISSINGLECHANNELTHESIGNATHATCOMEINFROMTHEFIRSTFRONTENDHAVEBEENCHANGEDAFITVOLTAGEWHICHPUTINTOAVOLTAGESIGNALADFRONTENDCIRCMTSHEREMAINLYARECOMPOSEDOFBYSIGNALATTENUATIONANDSIGNALAMPLIFIERCIRCUITAFTERTHEFRONTEND,THESIGNALSHAVECHANGESTHEDIGITALSIGNALTHEBYADCIRCUITTHISDATAHASBEENSENTTOFPGA,

9、THEDATAISSAVEDTOTHEFIFOINTHEFPGATHEMAINCIRCUITINTHEFPGA,INCLUDINGFIFO,THETRIGGERSYSTEM,THEPEAKDETECTIONCIRCUIT,TIMEASEDCIRCUIT,ANDSOONKEYWARDSFPGATHEADCONVERSION;DIGITALSTORAGEOSCILLOSCOPE4目录51绪论11引言示波器是一种用途十分广泛的电子测量仪器。应用于军事、科教、工业等领域。它能把肉眼看不见的电信号变换成看得见的图象,便于人们研究各种电现象的变化过程。本章就示波器的分类、发展及应用作简要介绍12示波器的分

10、类示波器可以分为模拟示波器和数字示波器,对于大多数的电子应用,无论模拟示波器和数字示波器都是可以胜任的,只是对于一些特定的应用,由于模拟示波器和数字示波器所具备的不同特性,才会出现适合和不适合的地方。数字示波器又可以分为数字存储示波器(DSO),数字荧光示波器(DPO)和采样示波器。数字存储示波器DSO,DIGITALSTORAGEOSCILLOSCOPE将信号数字化后再建波形,具有记忆、存储被观测信号的功能,可以用来观测和比较单次过程和非周期现象、低频和慢速信号,以及不同时间不同地点观测到的信号。13数字存储示波器的主要技术指标131最大采样速率FMAX定义单位时间内完成的完整A/D转换的最

11、高次数。式中N每格的取样数;T/DIV扫描时间因数,扫描一格所占用的时间。亦称扫描速度最大采样速率主要由A/D转换器的最高转换速率来决定最大取样速率越高,仪器捕捉信号的能力越强。132存储带宽存储带宽与取样速率密切相关。根据取样定理,如果取样速率大于或等于信号最高频率分量的2倍,便可重现原信号波形。实际上,在数字存储示波器的设计中,为保证显示波形的分辨率,往往要求增加更多的取样点,一般一个周期取4至10个点。133分辨率DIVTNF/6分辨率用于反映存储信号波形细节的综合特征分辨率包括垂直分辨率和水平分辨率。垂直分辨率与A/D转换器的分辨率相对应,常以屏幕每格的分级数(级/DIV)表示。水平分

12、辨率由存储器的容量来决定,常以屏幕每格含多少个取样点(点/DIV)表示。134存储容量存储容量又称记录长度,用记录一帧波形数据占有的容量来表示,常以字(WORD)为单位。存储容量与水平分辨率在数值上互为倒数关系。数字存储器的存储容量通常采用256B,512B,1KB,4KB等。存储容量愈大,水平分辨率就愈高。但存储容量并非越大越好,由于仪器最高取样速率的限制,若存储容量选取不恰当,往往会因时间窗口缩短而失去信号的重要成分,或者因时间窗口增大而使水平分辨率降低。135读出速度读出速度是指将存储的数据从存储器中读出的速度,常用(时间/DIV表示。其中,时间等于屏幕中每格内对应的存储容量读脉冲周期。

13、使用时,示波器应根据显示器、记录装置或打印机等对速度的不同要求,选择不同的读出速度。14数字示波器的发展背景及现状141发展背景八十年代的数字示波器处在转型阶段,还有不少地方要改进,美国的TEK公司和HP公司都对数字示波器的发展作出贡献。它们后来停产模拟示波器,并且只生产性能好的数字示波器。进入九十年代,数字示波器除了提高带宽到1GHZ以上,更重要的是它的全面性能超越模拟示波器。出现所谓数字示波器模拟化的现象,换句话说,尽量吸收模拟示波器的优点,使数字示波器更好用。数字示波器首先在取样率上提高,从最初取样率等于两倍带宽,提高至五倍甚至十倍,相应对正弦波取样引入的失真也从100降低至3甚至1。带

14、宽1GHZ的取样率就是5GHZ/S,甚至10GHZ/S。其次,提高数字示波器的更新率,达到模拟示波器相同水平,最高可达每秒40万个波形,使观察偶发信号和捕捉毛刺脉冲的能力大为增强。7再次,采用多处理器加快信号处理能力,从多重菜单的烦琐测量参数调节,改进为简单的旋钮调节,甚至完全自动测量,使用上与模拟示波器同样方便。最后,数字示波器与模拟示波器一样具有屏幕的余辉方式显示,赋于波形的三维状态,即显示出信号的幅值、时间以及幅值在时间上的分布。具有这种功能的数字示波器称为数字荧光示波器或数字余辉示波器即数模兼合。由于数字示波器已经达到4GHZ以上带宽的水平,配合荧光显示特性,总的性能优于模拟存储示波器

15、。142发展现状据相关数据表明,目前示波器在中国通用测试市场产品中占据约13的市场份额,相比以前,其市场比重有所上升。这进一步说明示波器在整体测试测量仪器产品中的市场地位逐渐增强。随着示波器各行业用户需求的不断提升及相应性能的不断完善,示波器在整个测试测量行业中的重要作用将日益凸显。示波器技术发展到今天,基本上是美国公司主打天下。10亿美元的示波器市场包括各种各样的应用,因此厂家推出不同的示波器来满足不同场合的需要。而且唱主角的几家大公司引领着示波器技术不断发展。近几年,国内厂商在数字示波器市场开拓及技术创新方面取得了很好的成绩,涌现出像鼎阳科技、普源精电、优利得、绿杨、利利普、同惠电子、中策

16、等公司且纷纷推出自己的数字示波器,竞争也基本进入白炙化状态。但与国外大公司相比,国内企业仍有很大的差距,如产品主要针对低端市场,无法满足高速测试需要,测试解决方案扩展低,利润也低。业内人士表示,面对如此庞大而激烈竞争的市场,国内企业要想在这个市场生存下来,独创自己的品牌,一方面要紧贴市场的需求,最大程度的满足用户的实际使用需求,另一方面要不断向中高端产品发展,不断推出性能更强大的多功能示波器以满足更高的测试要求需要。15本文所研究的工作及意义DSP是16位的RISC处理器,高性能、低功耗是其显著特点。并被广泛应用于各种嵌入式领域。比如在雷达信号处理,数字图像处理方面等等。FPGA是复杂可编程逻

17、辑器件,它具有速度快、稳定性高、设计灵活和价格低廉等许多优点。DSP和FPGA都是现在非常流行的,其性价比也是非常的高。也是两款技术非常成熟的芯片。本文所做的研究工作就是利用这两款芯片进行数字存储示波器的研究和设计。8本文进行了底层硬件平台的研究设计、少量的软件驱动程序的设计和示波器的常用算法的研究工作。例如,根据设计便携式数字存储示波器的实际需要,采用了DSPFPGA单片机的设计方案;研究了高频电路的设计方法,独立完成了整个系统的硬件电路设计,并对其中的某些功能模块进行了调试,给出了部分调试报告;研究了FFT、滤波、插值算法。本文的目的是采用FPGADSP单片机来设计一个100M重复带宽的数

18、字示波器。本设计中DC/100MHZ的被测信号经过前端电路把信号调整到AD输入电压的范围之内,经过AD转换变成数字信号,送到DSP中进行相关处理,之后通过DSP多缓冲串口送到单片机中,再由单片机把要显示的数据显示到LCD中的这么一个过程,去实现信号波形的检测。在本文开始之初,我们已经完成了数字存储示波器外围硬件平台的搭建,对示波器前端的模拟电路某些部分做了一下改进,而示波器的触发电路部分抛弃了传统的模拟触发方式,采用了全数字化的触发方式。由于本文采用FPGA来设计,从而使得数字存储示波器的设计较为灵活,这样做比较容易升级,而且可以根据用户的需要实现电路的升级。在软件方面,由于示波器的软件量是非

19、常庞大的。所以要想在很短的时间来完成它也是不可能的。本文只是完成了硬件平台的部分驱动程序。同时对示波器所要使用到的相关算法进行了相关的研究。示波器设计课题是一个非常庞大复杂的系统,而且实践性很强,其中涉及的知识非常多,由于时间和个人知识水平的限制,在本课题完成的过程中会存在这样那样的不足之处需要以后加强。2示波器的工作原理21示波器的工作原理了解示波器的工作原理是设计好示波器的第一步。示波器可以分为模拟示波器、数字存储示波器二类。下面对这两种示波器的工作原理作简要的介绍。211模拟示波器的工作原理9模拟示波器工作方式是直接测量信号电压,并通过从左到右穿过示波器屏幕的电子束在垂直方向描绘电压。示

20、波器屏幕通常是阴极射线管(CRT)。电子束投到荧幕的某处,屏幕后面总会有明亮的荧光物质。当电子束水平扫过显示器时,信号的电压是电子束发生上下偏转,跟踪波形直接反映到屏幕上。在屏幕同一位置电子束投射的频度越大,显示得也越亮。设置垂直标度(对伏特/格进行控制)后,衰减器能够减小信号的电压,而放大器可以增加信号电压。随后,信号直接到达CRT的垂直偏转板。电压作用于这些垂直偏转板,引起亮点在屏幕中移动。信号也经过触发系统,启动或触发水平扫描。水平扫描是水平系统亮点在屏幕中移动的行为。触发水平系统后,亮点以水平时基为基准,依照特定的时间间隔从左到右移动。许多快速移动的亮点融合到一起,形成实心的线条。模拟

21、示波器原理框图图21212数字示波器的工作原理数字示波器的工作方式是通过模拟转换器(ADC)把被测电压转换为数字信息。数字示波器捕获的是波形的一系列样值,并对样值进行存储,存储限度是判断累计的样值是否能描绘出波形为止,随后,数字示波器重构波形。模拟示波器要提高带宽,需要示波管、垂直放大和水平扫描全面推进。数字示波器要改善带宽只需要提高前端的A/D转换器的性能,对示波管和扫描电路没有特殊要求。加上数字示波管能充分利用记忆、存储和处理,以及多种触发和超前触发能力。数字存储示波器主要利用A/D转换技术和数字存储技术来工作,它能迅速捕捉瞬变信号并长期保存。该示波器首先对模拟信号进行高速采样以获得相应的

22、数字数据并存储,存储器中储存的数据用来在示波器的屏幕上重建信号波形然后利用数字信号处理技术对采样得到的数字信号进行相关处理与运算,从而获得所需要的各种信号参数最后,该示波器根据得到的信号参数绘制信号波形,并对被测信号进探头前置放大延迟线垂直偏振扫描发生器水平偏振触发波形显示器测量信号10行实时、瞬态分析,以方便用户了解信号质量,快速准确地进行故障诊断。数字存储示波器将输入模拟信号经过AD/转换,变成数字信号,储存在半导体存储器RAM中,需要时将RAM中存储的内容读出显示在LCD,或通过DA/转换,将数字信号变换成模拟波形显示在示波管上。数字存储示波器可以采用实时采样,每隔一个采样周期采样一次,

23、可以观察非周期信号川。数字示波器的采样方式包括实时采样和等效采样非实时采样。等效采样又可以分为随机采样和顺序采样,等效采样方式大多用于测量周期信号。其工作原理框图图22。图22数字示波器工作原理框图测量信号触发信号图22数字示波器工作原理框图213数字存储示波器的特点相比于模拟示波器,数字示波器有一下优点1数字存储示波器在存储工作阶段,对快速信号采用较高的速率进行取样与存储,对慢速信号采用较低速率进行取样与存储,但在显示工作阶段,其读出速度采取了一个固定的速率,不受取样速率的限制,因而可以获得清晰而稳定的波形。2数字存储示波器能长时间地保存信号。这种特性对观察单次出现的瞬变信号尤为有利。3具有

24、先进的触发功能。数字存储示波器不仅能显示触发后的信号,而且能显示触发前的信号,并且可以任意选择超前或滞后的时间,这对材料强度、地震研究、生物机能实验提供了有利的工具。除此之外,数字存储示波器还可以向用户提供边缘触发、组合触发、状态触发、延迟触发等多种方式,来实现多种触发功能,方便、准确地对电信号进行分析。4测量精度高。模拟示波器水平精度由锯齿波的线性度决定,故很难实现较高的时间精度,一般限制在35。而数字存储示波器由于使用晶振作高稳定时钟,有很高的测时精度。采用多位A/D转换器也使幅度测量精度大大提高。尤其是能够Y衰减放大逻辑控制单元触发放大D/ALCD显示器A/DRAMD/A11自动测量直接

25、读数,有效地克服示波管对测量精度的影响,使大多数的数字存储示波器的测量精度优于1。5具有很强的处理能力,这是由于数字存储示波器内含微处理器,因而能自峰值等参数的测量与显示。能对波形实现多种复杂的处理,例如取平均值、取上下限值、频谱分析以及对两波形进行加、减、乘等运算处理。同时还能使仪器具有许多自动操作功能,例如自检与自校等功能,使仪器使用很方便。6具有数字信号的输入/输出功能,所以可以很方便地将存储的数据送到计算机或其他外部设备,进行更复杂的数据运算或分析处理。同时还可以通过GPIB接口与计算机一起构成强有力的自动测试系统。3DSP和FPGA的开发介绍DSP在数字图像处理,音频信号处理等方面有

26、着非常广泛的应用。它以其专门的硬件乘法器,特殊的信号处理指令使得它高速的运算速度比最快的CPU还快上好几十倍。FPGA是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路ASIC领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA的使用非常灵活,同一片FPGA通过不同的编程数据可以产生不同的电路功能。FPGA在通信、数据处理、网络、仪器、工业控制、军事和航空航天等众多领域得到了广泛应用。本章主要是针对DSP、FPGA的发展情况和开发流出作简要的介绍。31DSP处理器简介DSP(DIGITALSIGNALPR

27、OCESSOR)是一种独特的微处理器,是以数字信号来处理大量信息的器件。其工作原理是接收模拟信号,转换为0或1的数字信号。再对数字信号进行修改、删除、强化,并在其他系统芯片中把数字数据解译回模拟数据或实际环境格式。它不仅具有可编程性,而且其实时运行速度可达每秒数以千万条复杂指令程序,远远超过通用微处理器,是数字化电子世界中日益重要的电脑芯片。它的强大数据处理能力和高运行速度,是最值得称道的两大特色。随着DSP应用范围的扩大、处理能力的加强以及DSP更新速度的加快,DSP处理系统越来越复杂,对设计者来说难度也越来越大,为此有的厂家已产生出一定标准,依据标准来设计生产电路板的DSP处理模块,同时为

28、这种标准模块提供丰富的12软件开发系统和算法库。其中典型的如TMS320C4X和SDSP2106X,它们可以通过通信口和全局总线插座,将若干个模块安装在母板上,方便地组成多处理器系统。这种模块化设计降低了硬件设计难度,减少了硬件设计时间,有利于更高效的开发DSP系统。311DSP处理器发展历程以及发展现状数字信号处理DIGITALSIGNALPROCESSING,简称DSP是一门涉及许多学科而又广泛应用于许多领域的新兴学科。20世纪60年代以来,随着计算机和信息技术的飞速发展在DSP出现之前数字信号处理只能依靠MPU(微处理器)来完成。但MPU较低的处理速度无法满足高速实时的要求。因此,70年

29、代有人提出了DSP的理论和算法基础。而DSP仅仅停留在教科书上,即便是研制出来的DSP系统也是由分立组件组成的,其应用领域仅局限于军事、航空航大部门。随着大规模集成电路技术的发展,1982年世界上诞生了首枚DSP芯片。这种DSP器件采用微米工艺NMOS技术制作,虽功耗和尺寸稍大,但运算速度却比MPU快了几十倍,尤其在语音合成和编码解码器中得到了广泛应用。DSP芯片的问世标志着DSP应用系统由大型系统向小型化迈进了一大步。随着CMOS技术的进步与发展,第二代基于CMOS工艺的DSP芯片应运而生,其存储容量和运算速度成倍提高,成为语音处理、图像硬件处理技术的基础。80年代后期,第三代DSP芯片问世

30、,运算速度进一步提高,其应用于范围逐步扩大到通信、计算机领域。90年代DSP发展最快,相继出现了第四代和第五代DSP器件。现在的DSP属于第五代产品,它与第四代相比,系统集成度更高,将DSP芯核及外围组件综合集成在单一芯片上。这种集成度极高的DSP芯片不仅在通信、计算机领域大显身手,而且逐渐渗透到人们日常消费领域,前景十分可观,数字信号处理技术应运而生并得到迅速的发展。312DSP处理器的发展前景DSP和微处理器的融合微处理器是低成本的,主要执行智能定向控制任务的通用处理器能很好执行智能控制任务,但是数字信号处理功能很差。而DSP的功能正好与之相反。在许多应用中均需要同时具有智能控制和数字信号

31、处理两种功能,如数字蜂窝电话就需要监测和声音处理功能。因此,把DSP和微处理器结合起来,用单一芯片的处理器实现这两种功能,将加速个人通信机、智能电话、无线网络产品的开发,同时简化设计,减小PCB体积,降低功耗和整个系统的成本。13DSP和高档CPU的融合大多数高档GPP如PENTIUM和POWERPC都是SIMD指令组的超标量结构,速度很快。LSILOGIC公司的LSI401Z采用高档CPU的分支预示和动态缓冲技术,结构规范,利于编程,不用担心指令排队,使得性能大幅度提高。INTEL公司涉足数字信号处理器领域将会加速这种融合。DSP和FPGA的融合FPGA是现场编程门阵列器件。它和DSP集成在

32、一块芯片上,可实现宽带信号处理,大大提高信号处理速度。据报道,XILINX公司的VIRTEXIIFPGA对快速傅立叶变换(FFT)的处理可提高30倍以上。它的芯片中有自由的FPGA可供编程。XILINX公司开发出一种称作TURBO卷积编译码器的高性能内核。设计者可以在FPGA中集成一个或多个TURBO内核,它支持多路大数据流,以满足第三代(3GWCDMA无线基站和手机的需要,同时大大节省开发时间,使功能的增加或性能的改善非常容易。因此在无线通信、多媒体等领域将有广泛应用。本文正是利用这一结合来设计数字存储示波器的。313DSP处理器的开发工具及开发流程数字信号处理器(DSP)作为一种可编程专用

33、芯片,是数字信号处理理论实用化过程的重要技术工具,在语音处理、图像处理等技术领域得到了广泛的应用。但对于算法设计人员来讲,利用汇编语言或C语言进行DSP功能开发,具有周期长、效率低的缺点,不利于算法验证和产品的快速开发。由TI公司提供专业的开发工具CCS,自带DSP/BIOS操作系统,能够直接编写适合DSP开发工程及文件,满足DSP程序设计要求。由MATHWORKS公司和TI公司联合开发的DSPMATLABLINKFORCCSDEVELOPMENTTOOLS(简称CCSLINK)是MATLAB65版本(RELEASE13)中增加的一个全新的工具箱,它提供了MATLAB、CCS和DSP目标板的接

34、口,利用此工具可以像操作MATLAB变量一样来操作DSP器件的存储器和寄存器,使开发人员在MATLAB环境下完成对DSP的操作,从而极大地提高DSP应用系统的开发进程。MATLAB具有强大的分析、计算和可视化功能,利用MATLAB提供的数十个专业工具箱,可以方便、灵活地实现对自动控制、信号处理、通信系统等的算法分析和仿真,是算法设计人员和工程技术人员必不可少的软件工具。对于DSP开发来说,可以根据不同情况来决定是否要选择操作系统。操作系统的使用可以在一定程度上缩短开发周期,但是操作系统对于实时性很高的场合来说就不一定合适。而没有操作系统的开发方式相对来说可能比较复杂一些,需要用户对14DSP的

35、硬件架构,对DSP的外围电路的驱动等非常熟悉。开发过程的时候,所有程序都是从硬件调试到初始化程序和应用程序都在CCS中进行开发。需要操作系统的开发方式相对来说简单一些,但是如果加入了操作系统之后,由于操作系统可以屏蔽到硬件的相关细节,用户即使不了解硬件的相关细节也可以进行开发,使得用户可以把精力专门集中在应用程序的开发上来。同时可以缩短开发周期。开发流程图31所示图31DSP的开发流程32FPGA的开发过程与应用FPGA(FIELDPROGRAMMABLEGATEARRAY),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC

36、)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。321FPGA发展历程及现状结构文件连接器C源程序汇编源文件测试,调试DSP目标板可执行代码产生EPROM码软件模拟器汇编器库文件C编译器开发、实验板硬件仿真器151985年,XILINX公司推出了全球第一款FPGA产品XC2064采用2M工艺,包含64个逻辑模块和85000个晶体管,门数量不超过1000个。22年后的2007年,FPGA业界双雄XILINX和ALTERA公司纷纷推出了采用最新65NM工艺的FPGA产品,其门数量已经达到千万级,晶体管个数更是超过10亿个。一路走来,FPGA在不断

37、地紧跟并推动着半导体工艺的进步2001年采用150NM工艺、2002年采用130NM工艺,2003年采用90NM工艺,2006年采用65NM工艺。从XILINX公司推出了世界上第一片FPGA现场可编程逻辑芯片,FPGA已经历几十年的发展。从最初的一千多可利用门,发展到90年代的几十万个可利用门,到十一世纪又陆续推出了几千万门的单片FPGA芯片。FPGA使用灵活,适用性强,特别适用于复杂逻辑的设计,有利用电子系统小型化,而且其开发周期短、开发投入少、芯片价格不断降低,促使FPGA越来越多地取代了ASIC的市场。“FPGA非常适用于原型设计,但对于批量DSP系统应用来说,成本太高,功耗太大。”这是

38、业界此前的普遍观点,很长时间以来也为FPGA进入DSP领域设置了观念上的障碍。而如今,随着XILINX公司和ALTERA公司相关产品的推出,DSP领域已经不再是FPGA的禁区,相反却成了FPGA未来的希望所在。322FPGA的工作原理FPGA采用了逻辑单元阵列LCA(LOGICCELLARRAY)这样一个概念,内部包括可配置逻辑模块CLB(CONFIGURABLELOGICBLOCK)、输出输入模块IOB(INPUTOUTPUTBLOCK)和内部连线(INTERCONNECT)三个部分。现场可编程门阵列(FPGA)是可编程器件,与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FP

39、GA具有不同的结构。FPGA利用小型查找表(161RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了既可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能,FPGA允许无限次的编程。323FPGA开发流程FPGA开发流程可以分为如下几步16功能定义,在FPGA设计项目开始之前,必须有系统功能的定义和

40、模块的划分,另外就是要根据任务要求,如系统的功能和复杂度,对工作速度和器件本身的资源、成本、以及连线的可布性等方面进行权衡,选择合适的设计方案和合适的器件类型。一般都采用自顶向下的设计方法,把系统分成若干个基本单元,然后再把每个基本单元划分为下一层次的基本单元,一直这样做下去,直到可以直接使用EDA元件库为止。设计输入,设计输入主要包括原理图输入、状态图输入、波形图输入以及某种硬件描述语言,比如说是VHDL、VERILOG的源程序。它是利用这些输入去描述一个电路的功能。功能仿真,功能仿真就是利用相关仿真工具对相关电路进行功能级别仿真,也就是说对你的输入设计的逻辑功能进行相关的模拟测试。在功能上

41、面来了解电路是否能够达到预期要求。这里的功能仿真纯粹是模拟性质的,不会设计的任何具体器件的硬件特性。综合后仿真,综合后仿真检查综合结果是否和原设计一致。在仿真时,把综合生成的标准延时文件反标注到综合仿真模型中去,可估计门延时带来的影响。但这一步骤不能估计线延时,因此和布线后的实际情况还有一定的差距,并不十分准确。目前的综合工具较为成熟,对于一般的设计可以省略这一步,但如果在布局布线后发现电路结构和设计意图不符,则需要回溯到综合后仿真来确认问题之所在。在功能仿真中介绍的软件工具一般都支持综合后仿真。布局布线,就是将综合后的网表文件针对某一个具体的目标器件进行逻辑映射。此时应该使用FPGA厂商提供

42、的实现与布局布线工具,根据所选芯片的型号,进行芯片内部功能单元的实际连接与映射。时序仿真,时序仿真,也称为后仿真,是指将布局布线的延时信息反标注到设计网表中来检测有无时序违规即不满足时序约束条件或器件固有的时序规则,如建立时间、保持时间等现象。时序仿真包含的延迟信息最全,也最精确,能较好地反映芯片的实际工作情况。由于不同芯片的内部延时不一样,不同的布局布线方案也给延时带来不同的影响。因此在布局布线后,通过对系统和各个模块进行时序仿真,分析其时序关系,估计系统性能,以及检查和消除竞争冒险是非常有必要的。在功能仿真中介绍的软件工具一般都支持综合后仿真。17生成SOF等文件,此文件可以通过调试器把它

43、下载到系统中间去。而FPGA设计流程的其他步骤基本上由相关工具去完成,因此只要自己设置好相关参数,不要人为干预太多。而验证的话就需要用户花费大量的时间去完成。其实设计的最后一步就是芯片编程与调试。芯片编程是指产生使用的数据文件位数据流文件,BITSTREAMGENERATION,然后将编程数据下载到FPGA芯片中。其中,芯片编程需要满足一定的条件,如编程电压、编程时序和编程算法等方面。逻辑分析仪LOGICANALYZER,LA是FPGA设计的主要调试工具,但需要引出大量的测试管脚,且LA价格昂贵。所以,最后的芯片调试就不在我们研究范围之内。开发流程图如下FPGA设计流程结构图324PFGA的基

44、本特点FPGA有着以下几个特点功能定义/器件选择功能仿真设计输入综合后仿真综合优化时序仿真实现与布局布线逻辑仿真器逻辑综合器PFGA厂家工具板级仿真与验证逻辑仿真器逻辑仿真器芯片编程与验证静态时序分析18采用FPGA设计ASIC电路专用集成电路,用户不需要投片生产,就能得到合用的芯片。FPGA可做其它全定制或半定制ASIC电路的中试样片。FPGA内部有丰富的触发器和I/O引脚。FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。FPGA

45、是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。第4章整体设计方案在数字存储示波器的设计中主要分为两大部分硬件设计和

46、软件设计。本章主要介绍示波器系统整体的设计流程,系统整体性能参数以及最终方案的确定。并对所选的方案做了详细介绍,根据此方案确定了元器件的选择。41系统整体设计流程图开始设计一个系统的时候,第一步是撰写整个系统的方案。对整个系统如何实现应该有个详细的了解。方案确定之后,就要设计这个系统的具体性能指标。再然后根据这个系统的性能指标选择相关的元器件。这之后,就可以进行软硬件设计了。一般硬件和软件开发可以同时进行。这样在完成系统的软件和硬件之后。分别对软件和硬件进行调试。分别调试完成之后,就进行系统的集成。之后再进行整个系统的测试工作。图41给出了系统的整体设计流程。19图41系统的整体设计流程42整

47、个系统的性能指标考虑到同类国产的示波器的性能指标,以及在具体电路中整个系统的实现难9易程度。故将设计目标定位于带宽在100M左右的数字存储示波器。并从成本等方面考虑,整个示波器系统只做了一个通道。采用的芯片也是尽量采用比较容易在市场上买到的和相对便宜的。同时由于时间等原因,本文只完成了整个系统的硬件设计和部分驱动程序的编写。并且对硬件电路进行了调试。调试的电路结果基本上达到了当初所想要达到的指标。但整个系统集成起来的调试工作还没有进行。便携式数字存储示波器期望达到的具体设计参数如下带宽100MHZ重复带宽通道单通道采样率100MSPS实时采样;垂直分辨率8位垂直灵敏度10MV5V/DIV根据要

48、求确定示波器的设计方案DSP及外围芯片的选着根据方案确定示波器性能指标总体设计确定软硬件部分系统软件设计示波器硬件设计系统软件编程与调试系统集成系统硬件设计系统硬件调试系统调试20水平灵敏度25NS5S/DIV输入阻抗1M工作模式自动,单次,常规存储深度4KB显示LCD黑白;整个屏幕192X64点阵;对比度可调43系统的实现方案数字存储示波器的设计方法一般是信号通过调理电路之后,送到AD转换器将被测信号数字化,并将数据存入到存储器中,在信号出现触发脉冲之后,就可以开始显示数据。然后处理器从存储器中读出数据,直接以数字信号显示器为液晶的时候的形式,送到相应的显示器中进行显示波形。方案采用DSPF

49、PGA单片机来实现整个系统。本设计就采用这个架构。这个结构既继承了采用DSP和FPGA的优点,同时也克服了因为LCD和键盘处理电路的速度慢而导致浪费DSP的时间资源的这个缺点。在本方案中,把LCD和键盘处理电路全部交给单片机进行管理。在这里DSP把数据通过多缓冲串口发送给单片机,然后由单片机把从DSP中接收到的数据送到LCD中去显示。同时单片机也可以读取键盘中的数据,通过串口发送给DSP芯片,进而去控制相关的电路。系统的整体设计框图如图42所示。图42系统的整体设计框图信号整形A/D转换LCD显示器衰减放大电路键盘控制电路FLASHRAM单片机FPGA峰值检测触发模块、分频模块DSP完成数据处理测量信号21431实现方案的介绍从图42中可以看出,整个硬件平台和其他的数字存储示波器一样也是采用模块化设计的方式,整个系统基本上是由三大部分模块组成它们分别为数据采集部分、数据处理部分和数据显示部分。数据采集部分完全由FPGA来进行控制,DSP只负责数据的后期处理,系统其他功能由单片机来实现。FPGA要控制前端数据通道,对采集到的数据缓存,而且还要使数据缓存单元和DSP处理器进行通信,这在整个系统中具有重要的地位。同时又由于FPGA的可编程性,使得前端采集电路的设计非常灵活,调试起来也非常方便。DSP主

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。