基于verilog的CMI编码实现(共5页).docx

上传人:晟*** 文档编号:6651462 上传时间:2021-09-11 格式:DOCX 页数:6 大小:133.75KB
下载 相关 举报
基于verilog的CMI编码实现(共5页).docx_第1页
第1页 / 共6页
基于verilog的CMI编码实现(共5页).docx_第2页
第2页 / 共6页
基于verilog的CMI编码实现(共5页).docx_第3页
第3页 / 共6页
基于verilog的CMI编码实现(共5页).docx_第4页
第4页 / 共6页
基于verilog的CMI编码实现(共5页).docx_第5页
第5页 / 共6页
点击查看更多>>
资源描述

基于verilog的CMI码型变换1、实验目的 掌握CMI的编码规则 用cpld实现m序列的CMI码型变换2、实验仪器 JH5001()通信原理基础实验箱 电脑3、实验原理3.1CMI编码规则CMI码一般作为PCM四次群数字中继接口的码型。它具有检错能力,并且不具有直流分量,是一种很重要的码型。它的编码规则如下:表格 1CMI编码规则输入码字编码结果001100/11交替表示当输入码字为0时,输出为01码型;对于输入为1的码字,输出有两种情况:输出不仅与当前码字有关,还与前一个1码的输出有关,1码对应的00或11码型,交替出现。由此可以看出,在同步的情况下,输出只对应三种有效码型,10码型无效,因此可以根据这个特点进行检错。3.2程序流程要求根据输入的时钟信号(14.336MHz)产生一个m序列(256KHz),并对该m序列进行CMI码型变换,最后将CMI码型输出。因为1位输入码元对应2位编码输出,所以输出CMI码频率是输入m序列的2倍,即512KHz。1、m序列产生

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。