1、 2014年 9月份考试脉冲电路与数字逻辑第三次作业 一、填空题(本大题共 30 分,共 10 小题,每小题 3 分) 1. VHDL 的源程序必须包含 _ 和 _ 。 2. 数据信息的表示由 _ 和 _ 组成。 3. 从编程看, PLA 的与阵列 _ ,或阵列 _ 。 4. 数 /模转换是指 _ 量到 _ 量的转化。 5. +0.1001 的补码的表示为 _ 。 6. 衡量两个相邻脉冲重复出现所间隔的时间的参数是 _ 。 7. 当 T=0 时, T触发器的次态为 _ 。 8. 矩形脉冲信号的参数有( )。 9. 二极管的基本组成结构是 _ 。 10. 在如下图所示电路中,设现态 Q1Q2Q3
2、=000,经五个脉冲作用后, Q1Q2Q3的状态应为 _ 。 二、计算题(本大题共 50 分,共 5 小题,每小题 10 分) 1. 试用 if 语句实现 4选 1 的多路选择器。 2. 用 VHDL 的条件信号代入语句描述一个 4 选 1多路选择器。 3. 设计一个半加器(不考虑低位的进位),试写出其真值表。 4. 用 VHDL 设计一个 串行输入并行输出寄存器。 5. 用 VHDL 设计一个计算一个字节输入中的 1的个数的电路。 三、简答题(本大题共 20 分,共 4 小题,每小题 5 分) 1. 请写出两输入的与门的实体。 2. 请简述功能模块的设计过程。 3. 试写出时钟控制 R-S触
3、发器的逻辑功能表。 4. 简述三极管工作在三个区域的条件。 答案: 一、填空题( 30 分,共 10 题,每小题 3 分) 1. 参考答案: 实体构造体 解题方案: 评分标准: 2. 参考答案: 符号数值 解题方案: 评分标准: 3. 参考答案 : 可编程可编程 解题方案: 评分标准: 4. 参考答案: 数字模拟 解题方案: 评分标准: 5. 参考答案: 0.1001 解题方案: 评分标准: 6. 参考答案: 脉冲周期 解题方案: 评分标准: 7. 参考答案: Q 解题方案: 评分标准: 8. 参考答案: B 解题方案: 评分标准: 9. 参考答案: P-N 结 解题方案: 评分标准: 10.
4、 参考答案: 001 解题方案: D触发器的功能是输入是什么,在时钟脉冲的作用下次态就是什么。在本题中,现态 Q1Q2Q3=000,在第一个时钟脉冲来后, Q1Q2Q3=100;在第二个时钟脉冲来后, Q1Q2Q3=110;在第三个时钟脉冲来后, Q1Q2Q3=111;在第四个时钟脉冲来后, Q1Q2Q3=011;在第四个时钟脉冲来后, Q1Q2Q3=001。 评分标准: 二、计算题( 50 分,共 5 题,每小题 10 分) 1. 参考答案: library ieee;use ieee.std_logic_1164.all;entity mux4 is port( sel: in std_logic_vector( 0 to 1) ; d0,d1,d2,d3: in std_logic; dout: out std_logic) ;end mux4;architecture example of mux4 isbegin porcess( sel) begin if sel=“00” then dout 0 解题方案: 评分标准 :