流水灯基于Verilog语言实现及测试代码4页.docx

上传人:晟*** 文档编号:6916639 上传时间:2021-09-15 格式:DOCX 页数:4 大小:45.91KB
下载 相关 举报
流水灯基于Verilog语言实现及测试代码4页.docx_第1页
第1页 / 共4页
流水灯基于Verilog语言实现及测试代码4页.docx_第2页
第2页 / 共4页
流水灯基于Verilog语言实现及测试代码4页.docx_第3页
第3页 / 共4页
流水灯基于Verilog语言实现及测试代码4页.docx_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

流水灯实验的Testbench报告一、 设计源码(顺序方法)module led(input clk,input rstn,output reg3:0led);parameter T1s = 4d10;reg3:0cnt_1s;always(posedge clk or negedge rstn)if(!rstn)begincnt_1s = 0;endelse if(cnt_1s = T1s)begincnt_1s = 0;endelse begincnt_1s = cnt_1s + 1b1;endalways(posedge clk or negedge rstn)if(!rstn)beginled = 4hf;endelse if(led = 4hf) beginled = 4he;endelse if(cnt_1s =

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。