约翰逊计数器实验报告含截图及源代码(共13页).docx

上传人:晟*** 文档编号:7927082 上传时间:2021-11-15 格式:DOCX 页数:13 大小:279.39KB
下载 相关 举报
约翰逊计数器实验报告含截图及源代码(共13页).docx_第1页
第1页 / 共13页
约翰逊计数器实验报告含截图及源代码(共13页).docx_第2页
第2页 / 共13页
约翰逊计数器实验报告含截图及源代码(共13页).docx_第3页
第3页 / 共13页
约翰逊计数器实验报告含截图及源代码(共13页).docx_第4页
第4页 / 共13页
约翰逊计数器实验报告含截图及源代码(共13页).docx_第5页
第5页 / 共13页
点击查看更多>>
资源描述

精选优质文档-倾情为你奉上 西 安 邮 电 大 学 (电子工程学院)VerilogHDL实验报告实验名称: 约翰逊计数器 专业名称: 集成电路与集成系统班 级: 电路1501 学生姓名: 张璐学号(8位): 实验日期: 1、 功能描述2、 设计方案三、验证方案4、 设计代码(一)约翰逊计数器modulecount(reset,clk,out);inputreset,clk;outputout;reg7:0out;reg 23:0c;reg

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。