电子密码锁设计方案综述【文献综述】.doc

上传人:文初 文档编号:79719 上传时间:2018-06-29 格式:DOC 页数:6 大小:40.74KB
下载 相关 举报
电子密码锁设计方案综述【文献综述】.doc_第1页
第1页 / 共6页
电子密码锁设计方案综述【文献综述】.doc_第2页
第2页 / 共6页
电子密码锁设计方案综述【文献综述】.doc_第3页
第3页 / 共6页
电子密码锁设计方案综述【文献综述】.doc_第4页
第4页 / 共6页
电子密码锁设计方案综述【文献综述】.doc_第5页
第5页 / 共6页
点击查看更多>>
资源描述

1、 1 毕业论文文献综述 电子信息工程 电子密码锁设计方案综述 摘要: 本文综述电子密码锁的各种方案,使读者能够根据使用场合、具体指标 选择合适的方案。 关键词: 密码锁 ; 数字;单片机; VHDL 引言 随着社会经济的不断发展,安全防盗已成为社会问题。如何制作安全可靠,又要使用方便的各种防盗锁,是制锁者长期以来研制的主题。在电子技术飞速发展的今天,具有防盗报警等功能的电子密码锁代替弹子锁和密码量少、安全性差的机械式密码锁已是必然趋势。电子密码锁具有机械锁无可比拟的优越性,它不但可以完成锁本身的功能,还可以兼有多种功能 ,如记忆,识别,报警等,电子密码锁以其安全性高,成本低,易操作等优点受到越

2、来越多人的欢迎 1。本文对电子密码锁的设计方案进行综述。 一、基于数字逻辑电路的电子密码锁 2 针对传统的机械锁的各种缺点和重要部门安全性要求,提出一种基于数字电子技术的密码锁核心电路设计方案,通过此方案设计的密码锁电路不但满足安全性要求。也能够适应特殊环境的使用需求。触发式电子密码锁的设计制作主要应用了数字电子技术的编、译码技术,并通过按键实现密码的设置、修改反识别功能。电路由两大部分组成:密码锁电路和备用电源,设置备用电源 是为了防止因为停电造成的密码锁电路失效,使用户免遭麻烦。密码锁电路包含:键盘输入、脉冲电路、密码检测、开锁示意电路、报警电路、键盘输入次数锁定电路,密码显示电路。设计框

3、图如“图 1”所示。 图 1 设计原理方框图 锁住键盘 用户清零 密码输入 密码显示 备用电源 密码识别 发光二极管发光,可以开锁 2 在该电子密码锁中,每位密码输入采用脉冲输入法,由用户按下轻触式开关的次数决定,通过脉冲触发计数器计数,从而决定密码锁的打开与否。脉冲要求电源的质量比较高,如果电源不稳定脉冲也就无法正常工作,所以脉冲在整个电路中是较重要的。输入端为四个脉冲,且每 按一次,显示部分显示计数的记数状况。密码显示电路在密码锁的应用当中看似不合理,但在该设计电路中,主要是方便电路检测,实际应用中可以将显示部分去掉或是采用适当电路隐藏。密码显示部分由译码器和七段数码显示管组成。在密码的识

4、别、比较电路部分用户所输入的密码经过电路的识别,和原设定密码进行比较,从而判断该输入的密码是否正确,若用户输入密码与预设密码相符,则电路末端将会输出一个高电平,通过点亮发光二极管用以指示密码正确,提示锁可以打开,否则,密码锁锁定,无法开启。数字电子密码锁裸露于外的只有一个密码输入的键盘和显示器 ,对于非法用户,没有密码没法轻易地打开。同时,对已使用密码破译的手段的非法用户,破译密码会相对耗费费时间,而且,一旦输入错误,键盘还将自动锁住,进一步提高了安全性能,使其应用前景进一步明朗化。 二、基于单片机的电子密码锁 随着大规模集成电路技术的发展,特别是单片机的问世,出现了许多带微处理器的电子密码锁

5、,有效地克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁在技术和性能上都有了大大的提高,并且还具有易操作、功耗低、成本低等优点,从而使电子密码锁成为目前市场上的主流产品3。该设计方案的密码锁以单片 机为核心,结合各种控制执行结构,利用单片机灵活的编程设计和丰富的 I 0 端口,及其控制的准确性通过软件程序来实现电子密码锁的基本功能。系统能实现密码的输入清除、显示,限时输入完整密码,密码正确开锁,超时报警,超位报警,密码错误次数超次锁定键盘,系统设定初始密码和用户修改密码功能。系统功能实用,成本较低,具有很高的实用价值 4。本系统的设计包括硬件和软件两个部分。硬件部分是由以下几个部分组成的

6、,其核心部分是单片机作为整个系统的控制中心。包括驱动电路模块,显示电路模块,报警模块和键盘模块,开锁模块外部控制电路模 块。总体框图如 “图 2” 所示。 图 2 总体框图 键盘控制电路 信息存储电路 驱动电路 显示电路 开锁控制电路 报警控制电路 单片机 3 其控制芯片的主要功能为:可设定从 l 位到 8 位的密码从键盘键入正确密码才可更改密码或开锁。输入三次错误密码电路就报警。键盘接口部分。设计 12 个按键的键盘。其中 0 9 数字键用于密码的输入和修改。功能键两个,一个为“确定”键,用于密码输入结束后确认, 个为“设置”键,用于修改密码。外部存储器电路。为了保证用户密码在系统掉电时不会

7、丢失,系统采用 EEPROM存储器来存储用户密码。电擦除可编程只读存储器 EEPROM 是 近年来开始被广泛使用的一种只读存储器,它能在应用系统中进行在线改写,并能在掉电的情况下保存数据而不需保证电源,因而完全符合系统的设计要求。在系统中,用户设定的密码就存储在 EEPROM 中。其它电路部分。开锁控制电路设计开锁控制电路的功能是当输入正确的密码后将锁打开。报警控制电路没计报警控制电路的功能是控制系统的报警装置。系统密码输入 3 次错误或有非正常开门情况发生时均启动报警装置。 软件部分主要实现的功能是:从键盘输入一组密码, CPU 把该密码和设置密码比较,正确则将锁打开,错误则要求重新输入,并

8、记录错误次数,如 果达到规定错误次数,则被强制锁定并报警。系统的所有密码设置由键盘输入。同时采用发光二极管来进行提示操作,使用户操作更加直观和方便。软件部分是整个控制器的重要组成部分,设计的好坏关系到系统的性能 5。 三、基于 VHDL 的电子密码锁 首先 EDA 技术在进入 21 世纪后,得到了很大的发展,其基本特征是采用高级语言描述,具有系统级仿真和综合能力 6。 VHDL 支持硬件的设计、验证、综合和测试,以及硬件设计数据的交换、维护、修改和硬件的实现,具有描述能力强、生命周期长、支持大规模设计的分解和已有设计的再利用等优点。 VHDL 的特点使得电子系统新的设计方法 “自顶向下”设计方

9、法更加容易实现 7。 4 图 3 整体电路结构 该电子密码锁包括密码锁输入模块、控制模块和显示模块等。密码锁输入模块的电路由时序产生电路、键盘扫描电路、弹跳消除电路、键盘译码电路和按键存储电路组成。时序产生电路用于产生电路中三种不同频率的工作脉冲波形,包括系统时钟信号、弹跳消除取样信号和键盘扫描信号。键盘电路可提供键盘扫描信号。该信号由 ky3 ky0 进入键盘。其变化的顺序为 1110 一 11011011 0111 1110 周而复始。弹跳消除电路可避免误操作发生。由于设计中采用的矩阵式键盘是机械开关结构,因此,在开关切换的瞬间,会在接触点出现信号来回弹跳消除电路。对于键盘译码电路由于键盘

10、按键分为数字按键和功能按键,每一个按键可负责不同的功能。而键盘所产生的输出 (也就是扫描回复信号 )却无法直接拿来用作密码锁控制电路的输入,所以必须由键盘译码电路来规划每个按键的输出形式,以便执行相应的动作。键盘存储电路可将每次扫描产生的新按键数据存储下来因此新数据可能会覆盖前面的数据,所以需要一个按键存储电路, 以将整个键盘扫描完毕的结果记录下来。而该密码锁的控制模块是整个电路的控制中心,主要完成对数字键输入和功能键输入的响应和控制。数字键输入的响应控制过程如下: (1)按下数字键,第一个数字会在显示器的最右端显示,随后每按下一个新数字,显示器上已经存在的数字整体会向左移一位,并将以新的数密

11、码输入电路 扫描信号 按键输入 键盘扫描电路 键盘译码电路 时序产生电路 弹跳消除电路 键盘 密码锁控制电路 寄存器清除信号发生电路 开 /关门锁 电路 数值比较电路 按键数据缓存器 显示电路 七段数码管 BCD 至七段译码电路 5 字显示出来。 (2)若要更改输入数字,则可按 *键清除所有输入的数字,再重新输人数字。 (3)电子密码锁为 4 位,当输入超过 4 位时,电路不予理会。且不显示第 4 个以后的数字。功能按键的输入响应控制功能如下: (1)清除功能:按下 *键,可清除所有 输入的数字,显示为“ -”。 (2)更改密码:按下 55#键,输入旧密码 (设计中为“ 0000” ),再按

12、#键,即可解除旧密码。接着输入 4 位数字。再按下 #键,就可以将 4 位数字作为新密码。 (3)密码上锁:输入 4 位新的密码数字之后,再按 11#键,就可以将密码锁上锁。 (4)密码解锁:按下 99#键可输入密码解锁,假如输入“ 2345”这个密码,然后按下 #键,系统将比较键盘输入的数码和寄存器中的数码,如果一致,就会给出一个开锁信号,密码锁开锁;否则密码输人无效。该电子密码锁的显示模块比较简单,其作用是将控制模块的 BCD 码输 出转换为 7 段显示编码。然后驱动数码管。要完成电子密码锁的设计,还必须将上述三个功能模块进行整合。其整合电路如“图 3”所示 8。 四、总结 采用数字密码锁

13、电路的好处就是设计简单 ,但是考虑到数字电路方案原理过于简单,而且不能满足现在的安全需求,所以已经没有被广泛使用。而单片机的问世,使密码锁在技术和性能上都有了大大的提高,而且可以在很大程度上扩展功能,方便对系统进行升级 9。然而基于单片机的电子密码锁也存在电路比较复杂、性能不够灵活、成本较高且可靠性和安全性差等缺点 10。而用 VHDL 可以更加快速、 灵活地设计出符合各种要求的密码锁,优于其他设计方法。 参考文献 1 ZHU Xuan, TANG Xiao qian, YIN Jian-jun. Design of Intelligent Encryption Locks on Single

14、 chipJ.LIGHT INDUSTRY MACHINERY, Vol.27 NO.4. 2 邱燕,邓鹏戈 .数字电子密码锁 J.现代电子技术, 2010,33(7). 3 陈丹萍 .基于 AT89S51 单片机的电子密码锁设计 J.大众科技, 2010 年第 6 期 . 4 吴小帆,许志华,吴玉翠 .通用型电子密码锁 J.商情, 2010 年第 13 期 . 5 张海燕 ,苏新红 .基于 AT89C2051 单片机电子密码锁的设计 J.内江科技 , 2010, 31(3). 6 吴兵,陈浩,田宏松等 .基于 EDA 技术的电子密码锁设计 J.大科技科技天地, 2010 年第 7期 . 7

15、尤国平,陈新,林伟,黄世震 .基于 VHDL 语言的串行密码锁设计 J.国外电子测量技术, 2005年第 9 期 . 8 王红航,张华斌 .电子密码锁的 EDA 设计与实现 J.电子元 器件应用, 2009 年,第 6 期 . 9 WANG Hui-jun. Microcomputer-controlled Electronic-based AT89s51 Lock Design J. EQUIPMENT MANUFACTURING TECHNOLOGY, 2010,( 5) . 6 10 范柳絮,李宏,陈娟,谷志 .基于 CPLD 的电子密码锁设计 J.电子测量技术, 2008, 31(3).

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 文献综述

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。