七段数码管显示译码器(共6页).doc

上传人:晟*** 文档编号:8078747 上传时间:2021-11-17 格式:DOC 页数:6 大小:150.50KB
下载 相关 举报
七段数码管显示译码器(共6页).doc_第1页
第1页 / 共6页
七段数码管显示译码器(共6页).doc_第2页
第2页 / 共6页
七段数码管显示译码器(共6页).doc_第3页
第3页 / 共6页
七段数码管显示译码器(共6页).doc_第4页
第4页 / 共6页
七段数码管显示译码器(共6页).doc_第5页
第5页 / 共6页
点击查看更多>>
资源描述

精选优质文档-倾情为你奉上可编程逻辑器件技术项目设计报告 课题名称:七段数码管显示译码器 班 级:13电子(2)班 姓 名:许宋如 指导老师:龚兰芳1、 设计要求1) 用VHDL语言设计一个可以实现以下功能的程序:输入时思位二进制数,输出时对应的十进制。2) 用四个开关代表四位二进制数,单个数码管显示对应的十进制数。3) 编完程序之后并在开发系统上进行硬件测试。2、 任务分析 用来驱动各种显示器件,从而将用二进制代码表示的数字,文字,符号翻译成人们习惯的形式直观的显示出来的电路,称为显示译码器。 这种显示译码器用多种发光器件构成。例如半导体发光二极管,液晶灯。 以发光二极管的七段数码管显示译码器为例进行说明。 七段字形译码器的真值表:设计原理图:四、硬件要求 主芯片EPM240T100C

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。