EDA课程设计——-篮球球比赛计分器(共12页).doc

上传人:晟*** 文档编号:8232461 上传时间:2021-11-19 格式:DOC 页数:12 大小:173KB
下载 相关 举报
EDA课程设计——-篮球球比赛计分器(共12页).doc_第1页
第1页 / 共12页
EDA课程设计——-篮球球比赛计分器(共12页).doc_第2页
第2页 / 共12页
EDA课程设计——-篮球球比赛计分器(共12页).doc_第3页
第3页 / 共12页
EDA课程设计——-篮球球比赛计分器(共12页).doc_第4页
第4页 / 共12页
EDA课程设计——-篮球球比赛计分器(共12页).doc_第5页
第5页 / 共12页
点击查看更多>>
资源描述

精选优质文档-倾情为你奉上课程设计报告题目: 篮球比赛记分牌姓 名: 院 系: 专 业: 学 号:指导教师: 完成时间: 年 月 日设计题目篮球比赛记分牌设计要求 用PLD器件EP1K10TC100-3及7段译码显示数码管,设计一个篮球比赛记分牌,具体要求如下:1、 根据比赛实际情况记录两队得分,罚球进的1分,进球的2分;2、 记分牌要具有纠错功能,能减1分、2分功能;3、 利用3个译码显示管输出比赛的分;设计过程(包括:设计方案上机设计与仿真结果硬件实验方案,及实验结果收获和体会) EDA技术以硬件描述语言来描述系统级设计,采用自顶向下的设计方法,并支持系统仿真和高层综合。VHDL语言具有具有很强的行为描述能力和多层次描述硬件功能的能力,是系统设计领域中使用最多的硬件描述语言之一

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。