实验二---7段数码显示译码器(共5页).doc

上传人:晟*** 文档编号:8347646 上传时间:2021-11-20 格式:DOC 页数:5 大小:266KB
下载 相关 举报
实验二---7段数码显示译码器(共5页).doc_第1页
第1页 / 共5页
实验二---7段数码显示译码器(共5页).doc_第2页
第2页 / 共5页
实验二---7段数码显示译码器(共5页).doc_第3页
第3页 / 共5页
实验二---7段数码显示译码器(共5页).doc_第4页
第4页 / 共5页
实验二---7段数码显示译码器(共5页).doc_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

精选优质文档-倾情为你奉上实验二 7段数码显示译码器【实验目的】1. 设计七段显示译码器,并在实验板上验证2. 学习Verilog HDL文本文件进行逻辑设计输入;3. 学习设计仿真工具的使用方法;【实验内容】1. 实现BCD/七段显示译码器的“ Verilog ”语言设计。说明:7段显示译码器的输入为:IN0IN3共5根, 7段译码器的逻辑表同学自行设计,要求实现功能为:输入“ 015 ”(二进制),输出“ 09F ”(显示数码),输出结果应在数码管(共阴)上显示出来。2. 使用工具为译码器建立一个元件符号3. 设计仿真文件,进行验证。4.编程下载并在实验箱上进行验证。【实验原理】7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的。为了满足16进制数的译码显示,利用Verilog译码程序在FPGA/CPLD中来实现。首先要设计一段程序,该程序可用case语句表述方法,根据真值表写出程序。设输入的4

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。