EDA七段译码器实验报告(共1页).doc

上传人:晟*** 文档编号:8495975 上传时间:2021-11-22 格式:DOC 页数:1 大小:477.50KB
下载 相关 举报
EDA七段译码器实验报告(共1页).doc_第1页
第1页 / 共1页
亲,该文档总共1页,全部预览完了,如果喜欢就下载吧!
资源描述

精选优质文档-倾情为你奉上 实验目的掌握七段译码器实验设备quartus II 5.0实验内容library ieee;use ieee.std_logic_1164.all;entity qdymq is port(a:in std_logic_vector(3 downto 0); led:out std_logic_vector(7 downto 0);end qdymq;architecture one of qdymq isbegin with a select led=00111111when0000, 00000110when0001, 01011011when0010, 01001111when0011, 01100110when0100, 01101101when0101, 0111110

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。