基于FPGA车牌识别系统的设计与实现(共9页).doc

上传人:晟*** 文档编号:8539268 上传时间:2021-11-23 格式:DOC 页数:9 大小:20KB
下载 相关 举报
基于FPGA车牌识别系统的设计与实现(共9页).doc_第1页
第1页 / 共9页
基于FPGA车牌识别系统的设计与实现(共9页).doc_第2页
第2页 / 共9页
基于FPGA车牌识别系统的设计与实现(共9页).doc_第3页
第3页 / 共9页
基于FPGA车牌识别系统的设计与实现(共9页).doc_第4页
第4页 / 共9页
基于FPGA车牌识别系统的设计与实现(共9页).doc_第5页
第5页 / 共9页
点击查看更多>>
资源描述

精选优质文档-倾情为你奉上基于FPGA车牌识别系统的设计与实现摘 要:本文对传统的以通用数字信号处理器(dsp)为核心的车牌识别系统进行了改进,介绍了一种新的基于fpga车牌识别系统。该系统主要通过摄像头采集汽车车牌图像,经过fpga核心处理器对图像进行处理,识别出车牌号,并通过 lcd 显示。经过调试运行,该系统实现了车牌识别的功能,可运用于工程实践。关键词:fpga;车牌识别;图像预处理;模板匹配【abstract】based on the traditional dsp, measurement was improved. the article mainly studies the design and realization of vlpr based on fpga. the system acquisitions the auto plate image through ccd and processes it in the fpga chip, then recognizes the plate and displays on the lcd.

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。