实验报告-基于FPGA的2FSK调制器的实现(共12页).doc

上传人:晟*** 文档编号:8563479 上传时间:2021-11-23 格式:DOC 页数:12 大小:6.64MB
下载 相关 举报
实验报告-基于FPGA的2FSK调制器的实现(共12页).doc_第1页
第1页 / 共12页
实验报告-基于FPGA的2FSK调制器的实现(共12页).doc_第2页
第2页 / 共12页
实验报告-基于FPGA的2FSK调制器的实现(共12页).doc_第3页
第3页 / 共12页
实验报告-基于FPGA的2FSK调制器的实现(共12页).doc_第4页
第4页 / 共12页
实验报告-基于FPGA的2FSK调制器的实现(共12页).doc_第5页
第5页 / 共12页
点击查看更多>>
资源描述

精选优质文档-倾情为你奉上1、 设计原理1、 2FSK调制原理2FSK信号是用载波频率的变化来表征被传信息的状态的,被调载波的频率随二进制序列0、1状态而变化,即载频为时代表传0,载频为时代表传1。显然,2FSK信号完全可以看成两个分别以和为载频、以和为被传二进制序列的两种2ASK信号的合成。2FSK信号的典型时域波形如图1所示, 图1 2FSK信号的典型时域波形其一般时域数学表达式为 (10-1)式中,是的反码,即2、用FPGA实现2FSK调制器的方案采用键控法实现2FSK,功能模块设计如图2所示。图2 用FPGA实现2FSK调制器方案通过不同的分频器,产生频率分别为f1和f2的基频。基带信号为“1”时,频率f1的信号通过;当基带信号为“0”时,频率f2的信号通过。f1和f2作为正弦表的地址发生器的时钟,正弦表输出正弦波的样点数据,经过D/A数模转换,得到连续的2FSK信号。3、 程序设计原理本实验制作一个基于FPGA的2FSK调制器,其设计原理图如图2所示。程序整体由

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。