VHDL语言与数字集成电路设计.PPT

上传人:国*** 文档编号:861269 上传时间:2018-11-03 格式:PPT 页数:27 大小:399KB
下载 相关 举报
VHDL语言与数字集成电路设计.PPT_第1页
第1页 / 共27页
VHDL语言与数字集成电路设计.PPT_第2页
第2页 / 共27页
VHDL语言与数字集成电路设计.PPT_第3页
第3页 / 共27页
VHDL语言与数字集成电路设计.PPT_第4页
第4页 / 共27页
VHDL语言与数字集成电路设计.PPT_第5页
第5页 / 共27页
点击查看更多>>
资源描述

1、VHDL语言与数字集成电路设计电子科技大学张鹰 第一章 概述 VHDLVery high speed integration circuits Hardware Description Language 一种集成电路的硬件描述语言;用于进行数字集成电路的设计;数字集成电路数字逻辑电路,通常由基本门电路构成;在一块半导体芯片上设计制作;目前以 CMOS工艺为主进行制备;在信息技术领域得到广泛的应用。从上世纪 60年代开始发展,每 3年集成度与速度提高 2倍。从简单的门电路到复杂的数字系统,系统复杂程度急剧提高。数字集成电路的发展SSI ( 120gates ) 基本单元组合 ( P.13)MSI

2、( 20200 ) 简单功能电路:译码器、数据选择器、寄存器、计数器LSI( 20020 万) 小规模系统组件:存储器、微处理器、可编程逻辑器件VLSI(可达上亿) 大型系统组件或小型系统SOC: Systems on chip !数字集成电路的发展数字集成电路的设计电路复杂程度高,开发时间长;目标:短周期、低成本、高性能方案:层次化、模块化、标准化自顶至下的多层次设计: TOP-DOWN数字集成电路的设计特点系统设计 系统描述:芯片功能、性能、 成本、尺寸等功能设计 功能级描述:功能框图、时序 图等逻辑设计 逻辑描述:逻辑电路图 电路设计 电路描述:电路图、门级网表版图设计 版图网表数字集成电路的设计层次采用文本形式进行程序设计,便于编写和修改;具有硬件特征的语句,可以描述数字系统的结构、功能、行为和接口;全面支持电路硬件的设计、验证、综合和测试;设计与具体工艺无关,适合于多层次设计;具有良好的开放性和并行设计能力、便于交流保存共享。设计交流的语言: HDL一个简单数字电路的设计描述4位加法器标准模块: a+b+ci=s3组输入, 1组输出;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 重点行业资料库 > 1

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。