verilog语言编写八选一数据选择器(共8页).docx

上传人:晟*** 文档编号:8785934 上传时间:2021-11-27 格式:DOCX 页数:8 大小:100.79KB
下载 相关 举报
verilog语言编写八选一数据选择器(共8页).docx_第1页
第1页 / 共8页
verilog语言编写八选一数据选择器(共8页).docx_第2页
第2页 / 共8页
verilog语言编写八选一数据选择器(共8页).docx_第3页
第3页 / 共8页
verilog语言编写八选一数据选择器(共8页).docx_第4页
第4页 / 共8页
verilog语言编写八选一数据选择器(共8页).docx_第5页
第5页 / 共8页
点击查看更多>>
资源描述

精选优质文档-倾情为你奉上八选一选择器一、 实验目的编写一个八选一的选择器,并在verilog软件上进行仿真。二、 代码1、 源代码(1)用数据流描述的八选一多路选择器模块,采用了逻辑方程module mux8_to_1(out,i0,i1,i2,i3,i4,i5,i6,i7,s2,s1,s0);output out;input i0,i1,i2,i3,i4,i5,i6,i7;input s2,s1,s0;assign out=s2?(s1?(s0?i7:i6):(s0?i5:i4):(s1?(s0?i3:i2):(s0?i1:i0);endmodule(2)用数据流描述的八选一多路选择器模块,采用了条件操作语句module mux8_to_1(out,i0,i1,i2,i3,i4,i5,i6,i7,s2,s1,s0);output out;input i0,i1,i2,i3,i4,i5,i6,i7;input s2,s1,s0;assign out=(s2&s1&s0&i0)|

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。