8路智力竞赛抢答器方案(完整方案)(共13页).doc

上传人:晟*** 文档编号:9025526 上传时间:2021-12-02 格式:DOC 页数:16 大小:388.50KB
下载 相关 举报
8路智力竞赛抢答器方案(完整方案)(共13页).doc_第1页
第1页 / 共16页
8路智力竞赛抢答器方案(完整方案)(共13页).doc_第2页
第2页 / 共16页
8路智力竞赛抢答器方案(完整方案)(共13页).doc_第3页
第3页 / 共16页
8路智力竞赛抢答器方案(完整方案)(共13页).doc_第4页
第4页 / 共16页
8路智力竞赛抢答器方案(完整方案)(共13页).doc_第5页
第5页 / 共16页
点击查看更多>>
资源描述

精选优质文档-倾情为你奉上昆明工业职业技术学院课程设计设计题目: 8路智力竞赛抢答器的设计班 级:10级计算机控制技术 学生姓名: 学 号: 指导教师: 白文忠 职 称: 讲师 指导小组组长: 教学班负责人: 提交日期:2012年 月 日8路智力竞赛抢答器的设计摘要本设计主要介绍用数电知识设计八路抢答器。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出,并与主持人开关相连接,即构成了抢答器的主体电路。经过布线、接线、调试等工作后数字抢答器成形。借助较少的外围元件完成抢答的整个过程,设计制作了八路抢答器,设计编程简单,工作稳定可靠。可供8人或8个代表队抢答,并用7段数码管显示首先抢

展开阅读全文
相关资源
相关搜索
资源标签

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。