七段数码管的动态扫描显示实验(共11页).doc

上传人:晟*** 文档编号:9041127 上传时间:2021-12-03 格式:DOC 页数:11 大小:217.50KB
下载 相关 举报
七段数码管的动态扫描显示实验(共11页).doc_第1页
第1页 / 共11页
七段数码管的动态扫描显示实验(共11页).doc_第2页
第2页 / 共11页
七段数码管的动态扫描显示实验(共11页).doc_第3页
第3页 / 共11页
七段数码管的动态扫描显示实验(共11页).doc_第4页
第4页 / 共11页
七段数码管的动态扫描显示实验(共11页).doc_第5页
第5页 / 共11页
点击查看更多>>
资源描述

精选优质文档-倾情为你奉上七段数码管的动态扫描显示实验一、实验名称:七段数码管的动态扫描显示实验二、实验目的:(1)进一步熟悉QuartusII软件进行FPGA设计的流程(2)掌握利用宏功能模块进行常用的计数器,译码器的设计(3)学习和了解动态扫描数码管的工作原理的程序设计方法三、实验原理:实验板上常用4位联体的共阳极7段数码管,其接口电路是把所有数码管的8个笔划段a-h同名端连在一起,而每一个数码管由一个独立的公共极COM端控制。当向数码管发送字形码时,所有数码管都接收到相同的字形码时,但究竟是那个数码管亮,取决于COM端,这一端是由I/O控制的,所以就可以自行决定何时显示哪一位。动态扫描即采用分时方法,轮流控制各个LED轮流点亮。在轮流点亮扫描过程中,每一位显示器的点亮时间是极为短暂的,但由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上每个显示器并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。四、实验要求:实现显示0000-9999的十进制计数器。五、实验步骤1.建立工程

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。