Datapath基本逻辑组件设计(共11页).doc

上传人:晟*** 文档编号:9981055 上传时间:2021-12-30 格式:DOC 页数:12 大小:798KB
下载 相关 举报
Datapath基本逻辑组件设计(共11页).doc_第1页
第1页 / 共12页
Datapath基本逻辑组件设计(共11页).doc_第2页
第2页 / 共12页
Datapath基本逻辑组件设计(共11页).doc_第3页
第3页 / 共12页
Datapath基本逻辑组件设计(共11页).doc_第4页
第4页 / 共12页
Datapath基本逻辑组件设计(共11页).doc_第5页
第5页 / 共12页
点击查看更多>>
资源描述

精选优质文档-倾情为你奉上计算机组成原理实验报告二题目:Datapath基本逻辑组件设计专心-专注-专业1、 实验目的1. 掌握程序计数器(PC)、多路选择器、符号扩展模块及简单加法器的原理及原理2. ALU基本模块的设计与实现3. 基于Xilinx ISE软件平台的逻辑组件仿真验证方法2、 实验设备1. 装有ISE的计算机一台2. EDK-3 SA ISE实验平台3、 实验任务1. 设计并验证程序计数器(PC)的功能2. 设计并验证多路选择器的功能3. 设计并验证符号扩展模块的功能4. 设计并验证简单加法器的功能4、 实验步骤程序计数器(PC)用于控制机器指令的执行顺序,实际上是存放指令的内存地址。计算机由指令指针来控制程序指令的顺序执行,CPU根据这个地址来读取当前要执行的指令,每执行一条指令都要改变PC的值。正常运行时,输出并更新当前PC值。以后要完成的简单计算机内存组织形式为32bit*512,因此地址宽度为9位。又因为内存地址宽度为32位,所以每次P

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。