RS232设计报告和verilog代码-含testbench-可以直接运行(共21页).docx

上传人:晟*** 文档编号:9982017 上传时间:2021-12-30 格式:DOCX 页数:21 大小:213.81KB
下载 相关 举报
RS232设计报告和verilog代码-含testbench-可以直接运行(共21页).docx_第1页
第1页 / 共21页
RS232设计报告和verilog代码-含testbench-可以直接运行(共21页).docx_第2页
第2页 / 共21页
RS232设计报告和verilog代码-含testbench-可以直接运行(共21页).docx_第3页
第3页 / 共21页
RS232设计报告和verilog代码-含testbench-可以直接运行(共21页).docx_第4页
第4页 / 共21页
RS232设计报告和verilog代码-含testbench-可以直接运行(共21页).docx_第5页
第5页 / 共21页
点击查看更多>>
资源描述

精选优质文档-倾情为你奉上RS232接口数据转发协议实验报告1. 设计要求 设计RS232接口数据转发协议,将8位并行数据转发为RS232协议的串口数据发送出去。entity rs232port ( clk: in std_logic; - 16MHz输入时钟 rdy: in std_logic; -数据准备好信号, 1个时钟周期的正脉冲 data: in std_logic_vector(7 downto 0); -要发送的并行数据 bps: in std_logic_vector(1 downto 0); -波特率设置 - 00:4800bps 01:9600 10:19200 11:38400 parity : in std_logic; -奇偶校验控制,0:奇校验 1:偶校验 d_out: out std_logic); -串行数据输出end rs232;协议要求: (1) 波特率:4800/ 9

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 实用文档资料库 > 公文范文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。