1、课程设计报告题目: 篮球比赛记分牌姓 名: 院 系: 专 业: 学 号:指导教师: 完成时间: 年 月 日1设计题目 篮球比赛记分牌设计要求用 PLD 器件 EP1K10TC100-3 及 7 段译码显示数码管,设计一个篮球比赛记分牌,具体要求如下:1、 根据比赛实际情况记录两队得分,罚球进的 1 分,进球的 2 分;2、 记分牌要具有纠错功能,能减 1 分、2 分功能;3、 利用 3 个译码显示管输出比赛的分;设计过程(包括:设计方案上机设计与仿真结果 硬件实验方案,及实验结果 收获和体会)EDA 技术以硬件描述语言来描述系统级设计,采用自顶向下的设计方法,并支持系统仿真和高层综合。VHDL
2、 语言具有具有很强的行为描述能力和多层次描述硬件功能的能力,是系统设计领域中使用最多的硬件描述语言之一;具有标准、规范等优势,能在设计的各个阶段对电路系统进行仿真和模拟,使设计者在系统的设计早期就能检查设计系统的功能,极大的减少了可能发生的错误,减少了开发成本。设计方案:利用一个 D 触发器,3 个 4 位二进制全加器,一个二选一数据选择器,3 个七段译码显示管组成电路,此电路具有加减、复位、显示等功能。能够满足比赛的实际要求。指导教师评语评定成绩课程设计等级2目录1 课程设计题目内容与要求1.1 设计内容1.2 具体要求2 系统设计2.1 设计思路2.2 系统原理3 系统实现4 系统仿真5
3、硬件验证(操作)说明6 总结7 参考书目3一、 课程设计题目、内容与要求1.1 课程设计的题目:篮球比赛记分牌1.2 课程设计内容:1、 根据比赛实际情况记录两队得分,罚球进的 1 分,进球的 2分;2、 记分牌要具有纠错功能,能减 1 分、2 分功能;3、 利用 3 个译码显示管输出比赛的分;二、 系统设计2.1 设计思路:篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:42.2 系统原理与设计说明系统各个模块的功能如下:1、D 触发器电路模块实现翻转功能当出错时,输出为 1,使电路回到上一个正确的状态。2、4 为二进制全加器电路
4、模块实现加法计数功能。3、移位寄存器电路模块保存比赛两队得分情况的 4 个相邻状态,出错时将调用上一个正确状态。4、二选一数据选择器电路模块 用来控制移位寄存器5、 LED 数码管驱动电路模块三、系统实现各模块电路的源程序如下:1、D 触发器电路模块及程序:set 输入(Q=1),清零应该可以用复位键 reset 吧(Q=0)。 library ieee; use ieee.std_logic_1164.all; entity sync_rsdff is port(d,clk : in std_logic; set : in std_logic; reset: in std_logic; q,
5、qb : out std_logic); end sync_rsdff; architecture rtl_arc of sync_rsdff is5begin process(clk) begin if (clkevent and clk=1) then if(set=0 and reset=1) then qa1,b=b1,sum=sum1,hcarry=cout1);u2:fulladd PORT MAP(in1=a2,in2=b2,cin=cout1,fsum=sum2,fcarry=cout2);u3:fulladd PORT MAP(in1=a3,in2=b3,cin=cout2,
6、fsum=sum3,fcarry=cout3);u4:fulladd PORT MAP(in1=a4,in2=b4,cin=cout3,fsum=sum4,fcarry=cout4);END add_arc;5、七段译码电路及程序:library ieee;8use ieee.std_logic_1164.all;entity deled isport(datain:in std_logic_vector(3 downto 0);qout:out std_logic_vector(6 downto 0);end deled;architecture func of deled isbeginp
7、rocess(datain)beginif datain= “0000“ then qout=“1111110“;elsif datain= “0001“ then qout=“0110000“;elsif datain= “0010“ then qout=“1101101“;elsif datain= “0011“ then qout=“1111001“;elsif datain= “0100“ then qout=“0110011“;elsif datain= “0101“ then qout=“1011011“;elsif datain= “0110“ then qout=“1011111“;elsif datain= “0111“ then qout=“1110000“;elsif datain= “1000“ then qout=“1111111“;elsif datain= “1001“ then qout=“1111011“;else null;end if;end process;end func;四、系统仿真1、D 触发器电路模块仿真波形:2、移位寄存器模块电路仿真波形:93、二选一数据选择器电路模块仿真波形:4、加法计数器的电路模块仿真波形:5、七段译码电路仿真波形: