光伏逆变并网模拟装置【毕业论文】.doc

上传人:文初 文档编号:17391 上传时间:2018-04-26 格式:DOC 页数:33 大小:1.07MB
下载 相关 举报
光伏逆变并网模拟装置【毕业论文】.doc_第1页
第1页 / 共33页
光伏逆变并网模拟装置【毕业论文】.doc_第2页
第2页 / 共33页
光伏逆变并网模拟装置【毕业论文】.doc_第3页
第3页 / 共33页
光伏逆变并网模拟装置【毕业论文】.doc_第4页
第4页 / 共33页
光伏逆变并网模拟装置【毕业论文】.doc_第5页
第5页 / 共33页
点击查看更多>>
资源描述

1、本科毕业设计(20届)光伏逆变并网模拟装置所在学院专业班级电气工程及其自动化学生姓名学号指导教师职称完成日期年月I摘要【摘要】本设计基于电压桥式逆变及光伏并网原理,以单片机最小系统为控制模块,用直流稳压电源和电阻模拟光伏电池,实现了光伏发电并网模拟系统的设计。系统由模拟光伏电池,DCAC逆变电路,LC滤波电路,控制电路,取样测量电路等模块构成。在60V直流电源的供电下,系统具有最大功率点跟踪(MPPT)功能;当模拟电网频率及幅值改变时,系统能够跟踪模拟电网电压的频率及相位;当“光伏电池”内阻等于负载电阻时,DCAC变换器的效率80,输出电压失真度THD1。而且系统具有欠压,过压及过流保护,当故

2、障排除后,装置能够自动恢复到正常工作状态;单片机最小系统配合ZLG7289显示模块能够实时显示输出电压,电流及功率的大小。【关键词】光伏;电压桥式逆变;MPPT;并网IIABSTRACT【ABSTRACT】THISDESIGNISBASEDONVOLTAGEBRIDGEINVERTERANDGRIDCONNECTEDSOLARPRINCIPLE,THESMALLESTSINGLECHIPMICROCOMPUTERSYSTEMFORTHECONTROLMODULE,WITHTHEDCPOWERSUPPLYANDRESISTORSIMULATIONPHOTOVOLTAICCELLSTOACHIEV

3、EASIMULATIONGRIDPVSYSTEMDESIGNSYSTEMCONSISTSOFSIMULATIONOFPHOTOVOLTAICCELLS,DCACINVERTERCIRCUIT,LCFILTERCIRCUIT,CONTROLCIRCUIT,SAMPLING,MEASURINGCIRCUITMODULEINTHE60VDCPOWERSUPPLY,THESYSTEMHASAMAXIMUMPOWERPOINTTRACKINGMPPTFUNCTIONWHENTHESIMULATIONGRIDFREQUENCYANDAMPLITUDECHANGES,THESYSTEMCANTRACKTHE

4、ANALOGLINEVOLTAGEFREQUENCYANDPHASEAS“PHOTOVOLTAICCELL“RESISTANCEISEQUALTOTHELOADRESISTOR,DCACCONVERTEREFFICIENCYOF80,THEOUTPUTVOLTAGEDISTORTIONTHD1ANDTHESYSTEMHASUNDERVOLTAGE,OVERVOLTAGEANDOVERCURRENTPROTECTION,WHENTROUBLESHOOTING,THEDEVICECANAUTOMATICALLYRETURNTONORMALWORKINGCONDITIONMICROCONTROLLE

5、RSWITHZLG7289CANREALTIMEDISPLAYOUTPUTVOLTAGE,CURRENTANDPOWERSIZE【KEYWORDS】PHOTOVOLTAICVOLTAGEBRIDGEINVERTERMPPTGRIDIII目录摘要IABSTRACTII1前言111选题背景112光伏发电系统的组成113逆变器的分类214逆变器的性能指标215研究历史与研究现状316发展动向和趋势417研究意义418本课题研究的基本内容和拟解决的问题42方案论证521硬件电路方案论证5211控制电路的选择5212最大功率点跟踪(MPPT)模块的设计6213DCAC变换器方案论证7214SPWM波发生

6、模块论证7215电流电压的取样方案822理论分析与计算9221MPPT的控制方法和参数计算9222同频同相的控制方法和参数计算10223滤波参数的计算103系统硬件设计1231控制电路设计1232逆变电压(UD)采样电路1233SPWM波形发生电路1334反馈检测电路设计14341电压峰值检测电路14342电流电压转换电路14343过流信号产生电路14344电压信号整形电路15345逆变主电路和驱动电路1535FPGA内部电路设计16351频率测量模块的实现17352频率跟踪模块的实现184系统软件设计2141系统总体软件流程图2142MPPT调节算法2143保护程序控制算法225测试方案与测

7、试结果2351测试方案2352测试仪器2353测试数据23531最大功率点跟踪测试23IV532频率跟踪功能测试24533相位跟踪功能测试24534转换器效率测试24535失真度测试25536欠压过流保护测试2554误差分析256结束语26参考文献2711前言11选题背景随着新兴国家工业化过程的迅速开展,世界各国对能源的需求急剧膨胀,而煤炭,石油,天然气三大化石能源日渐枯竭,全球将面临能源危机;同时,使用化石能源对生态环境造成了严重破坏,全球只有解决这个难题,才能实现社会和经济的可持续发展,造福人类。人类要想解决能源问题,只能依靠科技进步,大规模的开采,利用包括太阳能在内的可再生能源。太阳能具

8、有独一无二的优势,是取之不尽、用之不竭、无污染、廉价,而且人类能自由利用的新型能源。据统计,每年太阳送到地球上的能量相当于烧一百三十万亿吨标准煤,其中30立即被反射回太空,其余的部分则留在地球各处发挥作用有的被转化成绿色能源、水能等地球能源;但大部分又悄悄地弥散到太空之中。太阳每秒钟送到达地面的能量高达80万千瓦,如果把地球表面01的太阳能转为电能,转变率5,每年的发电量可达561012千瓦小时,这相当于目前世界上能耗的40倍1。利用太阳能发电可分为光伏发电和光热发电。常规意义上的太阳能发电,指的是太阳能光伏发电。与常用的发电系统相比,光伏发电有以下的优点1无枯竭危险;2安全可靠,无噪声,无污

9、染排放,干净(无公害);3不受资源分布地域的限制,可利用建筑屋面的优势;4无需消耗燃料,架设输电线路即可就地发电供电;5能源质量高;12光伏发电系统的组成并网光伏发电系统是与电网相连,并且向电网输送电力的发电系统。光伏发电系统由太阳能电池方阵,蓄电池组,充放电控制器,太阳跟踪控制系统,逆变器,交流配电柜等设备组成。其部分设备的主要作用是太阳能电池方阵在有光照(无论是太阳光,还是其它任何发光体产生的光照)情况下,电池表面吸收光能,产生光生电压,这就是“光生伏特效应”。在光生伏打效应的作用下,太阳能电池的两端会产生电动势,将光能转换成电能。蓄电池组作用是贮存电能并向负载供电。光伏逆变并网装置对所用

10、蓄电池组的要求有1使用寿命长;2充电效率高;3少维护或免维护;4价格低廉;5工作温度范围宽。2充放电控制器能自动防止蓄电池过充电和过放电的控制设备。蓄电池的循环充放电次数及放电深度是决定蓄电池使用寿命的重要因素,因此控制蓄电池组过充电或过放电的充放电控制器是必须的设备。逆变器将直流电转换成交流电的设备。太阳能电池和蓄电池是直流电源,而负载是交流负载,所以,逆变器是必不可少的2。以上设备中,逆变器是本设计的核心。13逆变器的分类逆变器的类型按应用范围分类(1)普通型逆变器直流12V或24V输入,交流220V、50HZ输出,功率从75W到5000W不等。(2)逆变和充电一体机在此类逆变器中,用户可

11、使用各种形式的电源为交流负载供电在有交流电时,通过逆变器使用交流电为负载供电,或为蓄电池充电;在无交流电时,用蓄电池为交流负载供电。按输出波形分类(1)方波逆变器方波逆变器输出的电压波形为方波。特点是线路简单,使用的功率开关管数量少。方波逆变器的优点是线路简单、维修方便、价格便宜。缺点是方波电压中含有大量的高次谐波。(2)正弦波逆变器正弦波逆变器输出的电压波形为正弦波。优点有,输出波形好,失真度很低,对通讯设备干扰小,噪声低。缺点有线路相对复杂,价格较贵。14逆变器的性能指标描述逆变器性能指标很多,常见的有直流输入电源条件输入直流电压的波动范围蓄电池组额定电压值的15。额定输出电压电压波动范围

12、单相220V5,三相3805。额定输出电流在规定的输出频率和负载功率因数下,逆变器应输出的额定电流值。额定输出频率在规定的条件下,固定频率逆变器的额定输出频率为50HZ左右3频率波动范围50HZ2。过载能力在规定条件下和较短时间内,逆变器输出超过额定电流的能力。逆变器的过载能力应在规定的负载功率因数下,满足一定的要求测量。效率在额定输出电压、电流,扼流和规定的负载功率因数下,逆变器输出有功功率与输入有功功率或直流功率之比。保护功能逆变器应设置短路保护、过流保护、过压保护、欠压保护及缺相保护。15研究历史与研究现状太阳能光伏发电有悠久的研究历史1839年,法国科学家贝克雷尔,第一次发现了光伏效应

13、。1954年,美国科学家恰宾和皮尔松,在美国贝尔实验室,首次制成了实用的单晶硅太阳电池,诞生了将太阳光能转换为电能的实用光伏发电技术。二十世纪七十年代,由于石油危机的影响,世界各主要国家都加大了对光伏产业研究和投入1999欧盟启动了“可再生能源起飞运动”,其中计划在太阳能领域计划投资100亿欧元。其中重点是生产家用热水、太阳能大系统、城市采暖、空调和工业采暖等五个方面。1999年德国新可再生能源法实施,大大推动了太阳能产业的发展。90年代初,日本推行可再生能源配额法和实行补贴等政策,日本已经成为世界光伏发电的先导。1973年美国制定了“政府级阳光发电计划”;从20世纪80年代,印度为可再生能源

14、的开发计划提供资金,最初的工作重点是太阳能和风能的生产,商品化,同时对一些可能的未来能源进行研究。我国对光伏发电的研究始于1958年,在1971年成功地首次将其应用于中国发射的卫星上,1973年开始地面应用。1979年,用半导体工业的次品硅生产单晶硅太阳电池组,使太阳能电池成本明显下降,打开了地面应用的市场可能。在19731987年短短的十几年内先后从美国、加拿大引进了几条太阳电池生产线,使中国的太阳电池的生产能力从1984年以前的200千瓦升到1988年的45兆瓦。近20年来,中国光伏产业长期占据全球市场1左右的份额。2003、2004年中国太阳电池组件生产量有了大幅度增长,2003年达了1

15、2万千瓦,约占世界份额的22,2004年达到了35万千瓦,约占33。4总的来说,世界太阳能光伏发电产业还处于初级阶段,是世界上发展最快高新技术,但我们对其研究尚未深入,还有很大的研究前景。16发展动向和趋势目前研究的重点方向有1提高太阳能电池的功率和寿命;2研制太阳能电池的新材料,提高电池的光电转化效率;3研究太阳能光电电池最大功率跟踪算法;4研究软并网技术,减少光伏电能对电网的冲击;5探索太阳能光伏发电与建筑物建设相结合技术,实现建筑物绿色发电与自我供电。17研究意义太阳能作为绿色能源,是以光伏技术与电力电子技术为依托的,结合我国的实际利用它,为人类服务,是能源工作者的重要任务。充分利用太阳

16、能资源,有计划、有步骤地推广光伏技术,解决缺电地区的用电问题,对于全国的平衡协调发展,缩小地区间的差距,均具有战略与现实的意义。18本课题研究的基本内容和拟解决的问题本设计基于电压桥式逆变及光伏并网原理,以单片机最小系统为控制模块,用直流稳压电源和电阻模拟光伏电池,实现了模拟光伏发电并网系统的设计。系统由模拟光伏电池,DCAC逆变电路,LC滤波电路,控制电路,取样测量电路等模块构成。在60V直流电源的供电下,系统具有最大功率点跟踪(MPPT)功能;当模拟电网频率及幅值改变时,系统能够跟踪模拟电网电压的频率及相位;当“光伏电池”内阻等于负载电阻时,DCAC变换器的效率80,输出电压失真度THD1

17、。而且系统具有欠压,过压及过流保护,当故障排除后,装置能够自动恢复到正常工作状态;单片机能够实时显示输出电压,电流及功率的大小。本设计重点和难点1最大功率点跟踪;2频率和相位跟踪;3转换器效率80;4故障自动恢复功能。52方案论证21硬件电路方案论证根据设计要求,确定系统的结构框图如下光伏电池DCAC逆变滤波器变压器负载UD采样SPWM波发生保护电路电压电流取样电路控制电路SPWM信号MPPT电网信号保护信号反馈控制信号UFI图21系统结构框图主要模块方案的描述和论证如下211控制电路的选择系统需要一个强大的控制电路来协调各个模块的可靠工作,控制电路的主要功能有同频同相控制,中断保护,MPPT

18、调节和自动恢复等功能。常见的控制电路组成有以下几种方案一采用51单片机构成控制电路。51单片机是对目前所有兼容INTEL8031指令系统的单片机的统称。该系列单片机的始祖是INTEL的8031单片机,图228051单片机引脚图后来随着FLASHROM技术的发展,8031单片机取得长足的进展,成为目前应用最广泛的8位单片机之一,其代表型号是ATMEL公司的AT89系列,它广泛应用于工业测控系统之中。方案二采用FPGA构成的控制电路。FPGA(FIELDPROGRAMMABLEGATEARRAY),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用

19、集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA具有运算速度快,并行处理数据,编程灵活方便等特点。6方案三采用增强型51单片机。美国SILABS公司C8051F020,是完全集成的混合信号片上系统型MCU。它具有丰富的模拟和数字外设,适合于构建较为复杂的控制系统。C8051F020的结构框图如下图23增强型51单片机内部结构图本设计中,最宜采用方案三。方案一中,51单片机功能过于简单,而且编程复杂,无法胜任复杂的控制功能。方案二中FPGA虽然处理速度快,但不适合做复杂的算法控制。所以采用方案三,方案三中C8051F0

20、20单片机丰富的外设和简便的编程,完全能胜任本设计的要求。212最大功率点跟踪(MPPT)模块的设计最大功率跟踪(MPPT)是并网发电中的一项关键技术,它是指控制改变太阳能电池阵列输出电压或电流的方法,使阵列始终工作在最大功率点上。当光伏阵列输出电压比较小时,随着电压的变化,输出电流变化很小,光伏阵列类似为一个恒流源;当电压超过一定的临界值继续上升时,电流急剧下降,此时的光伏阵列类似为一个恒压源。光伏阵列的输出功率则随着输出电压的升高有一个输出功率最大点。最大功率跟踪器的作用是在温度和辐射强度都变化的环境里,通过改变光伏阵列所带的等效负载,调节光伏阵列的工作点,使光伏阵列工作在输出功率最大点。

21、根据太阳能电池的特性,目前实现的跟踪方法主要有以下三种方案一恒电压法,因为太阳电池在不同光照条件下的最大功率点的电压相差不大,近似为恒定。这种方法的误差很大,但是容易实现,成本较低。方案二爬山法,通过周期性的不断的给太阳电池阵列的输出电压施加扰动,并观察其功率输出的改变,然后决定下一次扰动的方向。这种方法的追踪速度较慢,只适合于光强变化较小的环境。方案三导纳微分法,如果太阳能电池阵列工作在的最大功率点处,输出功率对输出电压的一阶倒数等于零。因此在环境光强发生改变时,根据DI/DV的计算结果是否等于I/V,决定是否继续7调整输出电压,即可实现最大功率点的跟踪。该方法相对于恒电压法和爬山法有高速稳

22、定的跟踪特性4。上述三种方案各有特点,对于小型光伏并网发电装置,成本低和易实现更为重要,故本设计中采用方案一实现最大功率跟踪。213DCAC变换器方案论证逆变器是一种将直流电(DC)转化为交流电(AC)的装置。它由逆变桥、控制逻辑和滤波电路组成。根据直流侧电源性质的不同,逆变器可以分为两种直流侧是电压源的称为电压型逆变电路,直流侧是电流源的称为电流型逆变电路。电压型逆变电路的主要特点1直流侧为电压源,或并联有大电容,相当于电压源。直流侧电压基本无脉动,直流回路呈现低阻抗。2由于直流电压源的钳制作用,交流侧输出的电压波形为矩形波,并且与负载阻抗角无关。而交流侧输出的电流波形和相位因负载阻抗情况的

23、不同而不同。3当交流侧为阻感负载时需要提供无功功率,直流侧电容起缓冲无功能量的作用。为了给交流侧向直流侧反馈的无功能量提供通道,逆变桥各臂都并联了反馈二极管。电流型逆变电路的特点1直流侧串联大电感,相当于电流源。直流侧电流基本无脉动,直流侧回路呈现高阻抗。2电路中开关器件的作用仅是改变直流电流的流通路径,因此交流侧输出为矩形波,而且与负载阻抗角无关。交流侧输出电压波形和相位因负载阻抗的不同而不同。3当交流侧为阻感负载时需要提供无功功率,直流侧电感起缓冲无功能量的作用。因而为反馈无功能量并不反向,因此不必像电压型逆变电路那样要给开关器件反并联二极管5。光电电池性质类似于电压源,所以采用电压型逆变

24、电路。214SPWM波发生模块论证正弦脉冲宽度调制(SPWM)波产生有多种方式方案一采用SPWM波发生专用芯片。常见的SPWM波发生芯片有EG8010等,EG8010是一款数字化的的,功能完善的自带死区控制的纯正弦波逆变发生器芯片,可应用于DCDCAC两级功率变换架构或DCAC单级工频变压器升压变换架构,外接12MHZ晶体振荡器,可以实现高精度、失真和谐波都很小的纯正弦波50HZ或60HZ逆变器专用芯片。芯片采用CMOS工艺,内部集成SPWM正弦发生器、幅度因子乘法器、死区时间控制电路、保护电路、软启动电路、RS232串行通讯接口和1602串行液晶驱动模块等功能。方案二基于DDS的纯软件方式。

25、在FPGA内部建立两张ROM表,分别存放正弦和三角波的数据,利用相位累加器寻址ROM,根据DDS原理,采用不同的步进值可以得到不同频率的正弦和三角波数据,得到的数据,经过FPGA内数据比较器的比较,可以得到数字式SPWM波。框图如下8方案三采用软件和硬件结合的方式。与纯软件DDS方式不一样的是,该方案采用DDS技术及产生的正弦波和三角波。然后,利用硬件电路实现波形滤波,电压比较,进而得到SPWM波。框图如下方案一采用专用芯片不够灵活,不能完成对频率和相位的实时跟踪。方案二比较时,利用的均是离散的数字量,存在较大的误差。方案三采用滤波后的波形比较,比较中不存在离散量,精度高。且由于DA的参考电压

26、可以设置调节,控制灵活方便,所以本设计中采用方案三产生SPWM波。215电流电压的取样方案为了实现限流,过压,欠压保护,系统需要检测负载电流和电压。常见的电流电压采样方法有一下集中方案一隔离采样的方案采用电压互感器、电流互感器分别实现对电压和电流测量。通过电压互感器对变压器副边输出的交流电压采样得到相应电压值,采样电压LRIU2。如图所示通过运放跟随、全波整流,放大后送入A/D转换,单片机计算得到有效值。图24隔离采样方案原理图方案二电阻分压方案电流采样电路采用在变压器副边串入一定数值的电阻,并取样该电阻两端分压,通过测量来反映电流值;电压采样电路采用在变压器副边并入一定数值的两个电阻,在其中

27、一个电阻两端进行取样。该方法的优点是不会对回路产生相位测量误差,且操作方便;缺点是会对副边电流产生影响。9图25电阻分压方案原理图由于本设计中输出接有隔离变压器,所以本设计中可以采用方案一进行采样。根据以上论证,各模块方案选择如下模拟光伏电池由60V恒压源和30的电阻组成;DCAC逆变器采用电压型桥式逆变电路;滤波器采用大电流LC滤波器;变压器采用50VA,绕制比为20101的隔离变压器;控制电路由单片机最小系统构成;SPWM波由模拟电路比较三角波和正弦波得到;MPPT通过恒压差法实现。22理论分析与计算221MPPT的控制方法和参数计算本设计中,采用恒定电压法实现最大功率点的跟踪。当负载变化

28、时,流过逆变器的电流会发生改变,由于光电电池内阻的存在,将导致逆变器输入电压的改变,从而使系统偏移最大功率点。这时需要通过改变逆变器的调制比(调制比基波峰值/载波峰值)来调节输入电压,使系统回到最大功率点处。例如,当负载减小时,流过逆变器的电流将增大,内阻上压降增大,从而导致了逆变器输入电压的减小,这时应该减小调制比,使输出电压减小,减小电流,使系统回到最大功率点处。本设计中固定基波(正弦波)的幅度为05V,通过调节载波(三角波,由单片机10位DAC产生)的参考电压(通过单片机12位DAC实现,参考电压243V)来改变调制比。单片机的DAC的歩进值为17,则三角波发生DAC参考电压的歩进值为1

29、72430014096V,三角波的幅值的步进值为0011024DV(D为DA转换的数字量),调制比的歩进值512001100D,输出电压的歩10进值约为51230001D。通过这样的反馈调节,可以使逆变器的输入电压基本稳定在30V,当调制比接近极限,仍不能稳定时,系统给出欠压或过压信号,并停止工作。222同频同相的控制方法和参数计算为了并网,输出信号与电网信号必须同频同相。由于滤波电路的存在,输出信号与基波信号之间有一定的相位差,这导致了输出信号相位的不确定性。通过改变基波的相位,可以改变输出信号的相位,不停地调节基波的相位,可以使输出与电网信号同步。由于基波的发生由FPGA实现,所以同频同相

30、需通过FPGA的编程实现。原理图参见硬件设计。同频的原理如下模拟电网信号通过整形电路转化为相同频率的TTL脉冲,由FPGA通过等进度多周期测频法测量频率,设基准时钟为10KHZ,计数器计数值为NO,被测信号通过同步信号,计数器的计数值为,则被测信号的频率为,010XXNFKHZN28基波的产生基于DDS技术,设查表脉冲为,累加器选用位,累加器歩进值为,则信号的频率为,32502XMFM29联立(28)和(29)得,32025000XNMN30用这个值作为累加器的歩进值,就可以实现频率的同步。同相通过DDS(直接数字频率合成技术)技术,改变基波相位实现。DDS不仅能控制输出信号的频率,还能控制输

31、出信号的相位。若在累加器上再加上一个地址的偏移量,就可以实现对相位的控制。本设计中,不停地采集反馈信号与“电网信号”的相位差,不停地对相位进行微调,当相位差小于一定范围时,停止相位累加器的计数,使两路信号基本同步。同频同相的原理图参见硬件电路设计。223滤波参数的计算对于单相SPWM逆变器输出电压UO频谱分析,各次谐波形成以NJKJ1,2,3为中心幅值递减的上、下边频谐波带,其中K为载波比(载波频率与基波频率之比),带中各次谐波可以表示为NJKB,其中JB偶数。为了使滤波器输出电压接近正弦波同时又不引起谐振,LC滤波器的截止频率12LFLC必须要远小于SPWM电压中所含有的最低次谐波频率,同时

32、又要远大于基波频11率。即LC截止频率FL选为110MINLHFFF1F基波频率;MINHF最低次谐波频率。本设计中,基波频率约为50HZ,载波频率约为20KHZ,所以截止频率定在3K左右,可以根据实际调试情况确定截止频率6。在确定截止频率后,L和C的参数还需要分别确定。本设计从滤波器无功容量的角度来设计滤波器。在确定截止频率后,L和C的参数还需要分别确定。SPWM逆变器输出滤波器的无功容量Q可以表示为Q222012221MMOMMMSMSUCUILIL31式中1基波角频率;MM次谐波角频率;SI电感电流的基波有效值;MSIM次电感电流的谐波有效值;0U电容电压的基波有效值;0MUM次电容电压

33、的谐波有效值。可近似为Q20121UCILS32LC滤波器的截止频率LLC133对于阻性负载有SI220120UCI34有323334三式得QLUUILLL1420312201201其中0U、0I为给定值,故Q是关于L的函数,要是Q值最小,则0LQ,故201420312201IUULLL35L值确定以后,根据,得到C值。设1基波角频率;MM次谐波角频率;0U电容电压的基波有效值,则23210102210LLWUWUWWLWI3MH(36)12L值确定以后,根据截止频率,可以得到C094UF。L,C实际取值可根据实际试验结果做适当的修改。3系统硬件设计31控制电路设计本系统采用C8051F020

34、最为控制核心,C8051F020单片机是完全集成的混合信号系统级芯片,具有与8051兼容的CIP51微控制器内核,采用流水线结构,单周期指令运行速度是8051的12倍,全指令集运行速度是原来的95倍。C8051F020以其功能较全面,应用较广泛的特点成为C8051F的代表性产品,其性能价格比在目前应用领域也极具竞争力。C8051F020结构框图如下图31控制电路原理结构图由它构成的最小系统框图如下C8051F020晶振电路ZLG7289数码管键盘复位电路8个LED蜂鸣器I/O接口输入输出设备图32最小系统原理框图32逆变电压(UD)采样电路13为了实现最大功率点跟踪功能,使逆变电压始终为电源电

35、压的一半,系统需要不停地采集逆变电压(UD),(默认电源电压不变,所以无需采集电源电压)。经过方案论证,由于电源侧为直流,逆变电压的采样电路可以选用直接采样法,即通过电阻分压,衰减逆变电压,经过AD转换,送入单片机,进行处理。逆变电压采样的硬件电路如下VDD100K100K01UFUD_IN欠压保护OUT10UF3261874U1OP07VEE01UF10UF图33逆变电压采样电路其中,电阻分压比为201,即电压衰减20倍;后级运放构成电压跟随电路,以隔离后级电路。输出电压既作为MPPT调节的信号,又作为输入欠压保护的信号。33SPWM波形发生电路根据方案论证,为了方便调节调制比,本设计中采用

36、软硬件结合发生SPW波的方法。FPGA根据DDS原理,只产生正弦波和三角波的数据。三角波可由FPGA开发板上的高DATHS5651转换产生,具体电路参见控制电路。正弦波可由外扩DA芯片DAC0832转换产生。转换得到的正弦波和三角波进行比较,就可以得到SPWM波。硬件电路如下CS1WR12GND3D34D25D16D07VREF8RB9GND10VCC20ILE19WR218XREF17D416D515D614D713IOUT212IOUT111MYDAC083210KVEE100KVCC01UF32184LF353567LF353VDDVEE10UF01UF10UF01UF1NF330PF6

37、8K30K1K100PF10UF10UF1K1K23765184LM311VDDVEE10UF01UF01UF10UF3KPOT21KVCC1240106123456789SIN_RU三角波输入SPWM波图34SPWM波形发生电路DAC0832的参考电压可以调节为一个固定值,所以产生的正弦波幅值不会改变。由于DAC0832是电流输出型DA,所以后级串联了两个运放,将电流量转换成了电压量。在比较器LM311前,输入信号都经过了一个无源的RC滤波,并进行隔直,以保证比较器正常工作。比较器后的40106可以滤除SPWM波的边沿抖动,使SPWM波更加纯净。1434反馈检测电路设计反馈检测电路主要完成对

38、逆变电流,逆变电压的采样和整形。由于逆变电流和电压都是交流量,且有变压器隔离,所以采样方法采用交流采样。341电压峰值检测电路电压峰值检测电路完成对输出电压的衰减,并将衰减后的电压峰值检测出来。硬件电路如下32184LF353567LF35332184LF35332184LF353567LF353100K100K100K100K91K100K10K10K10K10K10K10K10KDIODEDIODE22NFVDDVEEVDDVEEVDDVEE10UF001UF10UF001UF10UF001UF10UF001UF10UF001UF10UF001UF峰值IN_1IN_2图35电压峰值检测电路

39、第一级运放构成对负载的差分输入,将浮低的信号转换为以地位参考点。第二级运放将电压信号衰减倍,以适应单片机的AD。后三级运放构成了典型的峰值保持和检测电路,输出为交流信号的正幅值。342电流电压转换电路本设计需要完成过流保护,阈值电流为2A左右。由于对电流的转换处理不方便,实际应用时都常常转换成电压信号来处理,本设计用到的电流互感器衰减1000倍,转换电路如下1K32184LF353VDDVEE01UF10UF01UF10UF123456电流互感器电压信号图36电压电流转换电路负载电流不超过2A,经过互感器衰减后,最大2MA,采样电阻为1K,转换后的电压最大2V,可以不用再衰减。运放构成的电压跟

40、随电路可以隔离前后级。转换后的电流信号,需要检测峰值,检测方法与电压峰值检测完全相同。343过流信号产生电路过流信号通过硬件产生,并连至单片机的中端口,一旦有中断信号产生,系统立刻停止工作。过流信号可以通过调理后的电流信号与一个基准电压比较得到,硬件原理图如下1523765184LM311100K3K1K10UF01UF10UF01UF01UF10UF10UF01UFVCCVDDVEEVDDVEEVDD100K1133W2100K32184LF353PORT电流调理值图37过流信号产生电路基准电压可以设置,用一个跟随后,可以是电压更稳定。比较器用LM311,过流时,输出为正,正常工作时输出为0

41、。如果设置阈值电流为2A,则基准电压为21414283VV。344电压信号整形电路系统为了实现同频同相的功能,必须实时采样输出电压的频率和波形,为了FPGA能检测频率和波形,需要将正弦信号转换成同频同相的TTL电平,整形电路就是需要完成这个功能。电路的原理图如下32184LF353567LF35323765184LM3111240106VDDVEE01UF01UF01UF01UFVDDVEE100K10K30K51K414841484148414851V1K51KVCC10UF10UF10UF10UF10UF正弦信号TTL信号图38电压信号整形电路前级的隔离电容宜大一点,以减少信号的衰减及相位

42、的偏差。第一级运放构成一个放大电路,第二级运放限幅,第三级运放构成比较电路,末端的40106可以滤除边沿的抖动。345逆变主电路和驱动电路16DCAC逆变器是本设计的核心电路,驱动芯片与MOSFET的选择搭配直接关系到逆变的成功与否。实验室可提供的MOSFET有IRF3710,IRF530,IRF840等,驱动芯片有IR2104,IR2111。MOSFET的比较如下表31MODFET性能比较芯片型号IRF840IRF530IRF3710能承受的最大漏源电压()500100100漏源动态电阻()0850160023允许通过的最大电流()81457通过以上比较,本设计选用性能最优的IRF3710。

43、IR2111与IR2104的参数基本相同,不同点在于IR2111驱动桥臂前,需要用光耦隔离信号,而IR2104不需要;IR2104还有使能端,能使能或禁止信号输出。为了化简电路设计,并且实现数控,本设计采用IR2104作为驱动芯片。DCAC具体原理图如下VCC1IN2/SD3COM4VB8HO7VS6LO5IR2104VCC1IN2/SD3COM4VB8HO7VS6LO5IR2104FR10741484148FR10741484148VDD01UF1K2010K2010KPOWER01UF01UF10K10K01UFVDD1K2010K2010K10K10K01UF01UF1MH1MH47UF

44、123POWERSPWMCTR1SPWMCTR2POWERVDDOUT1OUT2IRF3710IRF3710IRF3710IRF371010UF47UF3000UF3000UF3000UF47UF01UF01UF10UF图39DCAC逆变主电路35FPGA内部电路设计本设计,FPGA的主要作用是产生三角波和正弦波的数据,并完成同频同相的调节。FPGA开发板上还集成了两个AD和DA,其中,本设计中用到了高速DA,THS5651来产生三角波。FPGA开发板的框图如下17图310FPGA开发板框图351频率测量模块的实现本设计中,采用多周期同步测量计数法测量电网信号的频率。多周期同步测量计数采用的闸

45、门周期是被测信号周期的整数倍,称为同步闸门信号。在同步闸门信号的作用下,对基准信号和被测信号进行计数。此种测量方法误差的产生尽在于对基准信号的测量中,而与被测信号无关,故此技术又称作等精度多周期同步测量计数法。测量原理的结构框图如下图311等精度测量原理图波形图如下图312等精度测量波形图18其中,XF被测频率ST预置闸门时间ST同步闸门时间XN被测信号个数0N时钟信号个数0F时钟信号频率被测频率00XXNFFN(37)测量误差0N的最大误差为1,所以误差最大值为01100FF。(38)测量模块包括分频电路,同步闸门产生电路,计数和寄存电路和运算电路,硬件电路图如下图313频率测量模块电路图其

46、中,图中未显示运算电路,运算电路可以与DDS部分和在一起。输入信号VREF_IN为电网信号,UP_IN为逆变电压信号,CP为50MHZ的晶振。352频率跟踪模块的实现FPGA输出的正弦波信号的频率需要随着电网信号的频率的改变而改变,在371中已经能够测得电网信号的频率,接下来就是根据电网信号的频率改变输出正弦波的频率。本设计中正弦波和三角波的发生都采用DDS技术(直接数字频率合成法)。DDS的基本结构图如下19图314DDS原理图时钟CF由外部输入,频率控制字的计算通过FPGA的乘除电路实现,相位寄存器由计数器实现,正弦表通过ROM实现。设相位累加器为N位,SIN表的大小为2P,累加器的高P位

47、用于寻址SIN表时钟频率为CF,若累加器按步进为N地累加直至溢出,称N为频率控制字。DDS参数计算相位寄存器每经过2NM个时钟后回到初始状态,相应地正弦查询表经过一个循环回到初始位置,整个DDS系统输出一个正弦波。输出正弦波周期02NCCTTT;输出正弦波频率02CNMFF。353相位跟踪模块的实现相位跟踪功能是通过反馈调节实现的。模拟电路先将电网信号和逆变电压信号的波形进行整形,整成FPGA可以识别的TTL电平。在FPGA内部,通过异或门得到相位差,这个相位差可以调节依据如果相位差大于允许值,则在相位累加器后累加一个相位偏移量,如果相位差小于允许值,则不必进行调节。累加的始终可以任选,选的频

48、率高,系统的调节速度快,选择的频率低,则系统的调节速度慢。相位跟踪模块的原理图如下20DA_CPOUTPUTTRIGNLE_DAT90OUTPUTSIN_DAT70OUTPUTNOTINST20GNDABABDATAA310DATAB310RESULT310LPM_ADD_SUB0INST31DFFDATA310CLOCKQ310LPM_FF2INST32UPCOUNTERCLOCKACLRQ230LPM_COUNTER6INST10DFFDATA310CLOCKENABLEQ310LPM_FF4INST4ABABDATAA310DATAB310RESULT310LPM_ADD_SUB2INS

49、T3DFFDATA230CLOCKQ230LPM_FF5INST14ADDRESS90CLOCKQ70LPM_ROM1INST6250MTRIN_DAT90TRINBOINST65UNSIGNEDCOMPAREDATAB20000DATAA230AGBLPM_COMPARE0INST12ABABDATAA310DATAB310RESULT310LPM_ADD_SUB2INST39M3126X310Y310M310ADD3122Y310ADD310图315频率跟踪模块原理图其中,相位跟踪模块必须和DDS模块协调工作。214系统软件设计41系统总体软件流程图系统的软件部分主要是指系统的单片机程序,本设计主要设计为硬件电路的设计,所以系统的软件编程较为简单。主函数需要完成的主要工作是系统保护和MPPT调节。系统的软件流程图如下所示初始化(系统,时钟,端口,AD,DA)启动AD转换(UD)等待转换结束读取AD值UD25V启动欠压保护MPPT调节YYNN图41系统总体软件流程图程序运行后,软件首先要完成系统的初始化,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文资料库 > 毕业论文

Copyright © 2018-2021 Wenke99.com All rights reserved

工信部备案号浙ICP备20026746号-2  

公安局备案号:浙公网安备33038302330469号

本站为C2C交文档易平台,即用户上传的文档直接卖给下载用户,本站只是网络服务中间平台,所有原创文档下载所得归上传人所有,若您发现上传作品侵犯了您的权利,请立刻联系网站客服并提供证据,平台将在3个工作日内予以改正。